Intel® Quartus® Prime Standard Edition User Guide: Design Constraints

ID 683492
Date 1/10/2019
Public
Document Table of Contents

1.4. Constraining Designs Revision History

Document Version Intel® Quartus® Prime Version Changes
2019.01.04 18.1.0
  • Clarified default location of .sdc and .qsf files in "Constraining Designs" topic.
  • Added two new "Assigning a Pin" and "Creating a Project and Applying Constraints" topics showing Tcl examples.
2018.09.24 18.1.0 Initial release in Intel Quartus Prime Standard Edition User Guide.
2017.11.06 17.1.0
  • Renamed topic: Constraining Designs with the GUI to Constraining Designs with Quartus Prime Tools.
  • Renamed topic: Global Constraints to Global Constraints and Assignments.
  • Added table: Quartus Prime Tools to Set Global Constraints.
  • Removed topic: Common Types of Global Constraints.
  • Removed topic: Settings That Direct Compilation and Analysis Flows.
  • Updated topic: Node, Entity and Instance-Level Constraints.
  • Added table: Quartus Prime Tools to Set Node, Entity and Instance Level Constraints.
  • Added topic: Assignment Editor.
  • Updated topic: Constraining Designs with the Pin Planner.
  • Updated topic: Constraining Designs with the Chip Planner.
  • Added topic: Constraining designs with the Design Partition Planner.
  • Updated topic: Probing Between Components of the Quartus Prime GUI.
  • Added example: Locate a Resource Selected in the Project Navigator.
  • Updated topic: SDC and the Timing Analyzer, and renamed to Specifying Individual Timing Constraints.
  • Added figure: Constraint Menu in Timing Analyzer.
  • Added example: Create Clock Dialog Box.
  • Updated topic: Constraining Designs with Tcl, and renamed to Constraining Designs with Tcl Scripts
  • Updated topic: Quartus Prime Settings Files and Tcl , and renamed to Generating Quartus Prime Settings Files.
  • Added example: blinking_led.qsf File.
  • Updated topic: Timing Analysis with Synopsys Design Constraints and Tcl, and renamed to Timing Analysis with .sdc Files and Tcl Scripts.
  • Added example: .sdc File with Timing Constraints.
  • Added topic: Tcl-only Script Flows.
  • Updated topic: A Fully Iterative Scripted Flow.
2015.11.02 15.1.0
  • Changed instances of Quartus II to Intel Quartus Prime.
June 2014 14.0.0 Formatting updates.
November 2012 12.1.0 Update Pin Planner description for task and report windows.
June 2012 12.0.0 Removed survey link.
November 2011 10.0.2 Template update.
December 2010 10.0.1 Template update.
July 2010 10.0.0 Rewrote chapter to more broadly cover all design constraint methods. Removed procedural steps and user interface details, and replaced with links to Quartus II Help.
November 2009 9.1.0
  • Added two notes.
  • Minor text edits.
March 2009 9.0.0
  • Revised and reorganized the entire chapter.
  • Added section “Probing to Source Design Files and Other Quartus Windows” on page1–2.
  • Added description of node type icons (Table1–3).
  • Added explanation of wildcard characters.
November 2008 8.1.0 Changed to 8½” × 11” page size. No change to content.
May 2008 8.0.0 Updated Quartus II software 8.0 revision and date.