Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.34.11. remove_annotated_delay (::quartus::sdc_ext)

The following table displays information for the remove_annotated_delay Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc_ext

Syntax remove_annotated_delay [-h | -help] [-long_help] -all
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-all Specifies removal of all annotated delays
Description
Removes annotated delays from the design.
Example Usage
# annotate delay
set_annotated_delay -net -from [get_pins clk] 0.1
update_timing_netlist

# remove all annotated delays
remove_annotated_delay -all
update_timing_netlist
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.