Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.35. ::quartus::sta

The following table displays information for the ::quartus::sta Tcl package:

Tcl Package and Version ::quartus::sta 1.0
Description
This package contains the set of Tcl functions for obtaining
information from the Timing Analyzer.
Availability
This package is loaded by default in the following executables:

    quartus_fit
    quartus_sta
Tcl Commands
add_to_collection (::quartus::sta)
check_timing (::quartus::sta)
create_report_histogram (::quartus::sta)
create_slack_histogram (::quartus::sta)
create_timing_netlist (::quartus::sta)
create_timing_summary (::quartus::sta)
delete_sta_collection (::quartus::sta)
delete_timing_netlist (::quartus::sta)
enable_ccpp_removal (::quartus::sta)
enable_sdc_extension_collections (::quartus::sta)
get_available_operating_conditions (::quartus::sta)
get_cell_info (::quartus::sta)
get_clock_domain_info (::quartus::sta)
get_clock_fmax_info (::quartus::sta)
get_clock_info (::quartus::sta)
get_clock_pair_info (::quartus::sta)
get_datasheet (::quartus::sta)
get_default_sdc_file_names (::quartus::sta)
get_edge_info (::quartus::sta)
get_entity_instances (::quartus::sta)
get_min_pulse_width (::quartus::sta)
get_net_info (::quartus::sta)
get_node_info (::quartus::sta)
get_object_info (::quartus::sta)
get_operating_conditions (::quartus::sta)
get_operating_conditions_info (::quartus::sta)
get_partition_info (::quartus::sta)
get_path (::quartus::sta)
get_path_info (::quartus::sta)
get_pin_info (::quartus::sta)
get_point_info (::quartus::sta)
get_port_info (::quartus::sta)
get_register_info (::quartus::sta)
get_timing_paths (::quartus::sta)
import_sdc (::quartus::sta)
is_post_syn_sta (::quartus::sta)
locate (::quartus::sta)
print_total_sdc_processing_time (::quartus::sta)
query_collection (::quartus::sta)
read_sdc (::quartus::sta)
register_delete_timing_netlist_callback (::quartus::sta)
remove_from_collection (::quartus::sta)
report_advanced_io_timing (::quartus::sta)
report_asynch_cdc (::quartus::sta)
report_bottleneck (::quartus::sta)
report_cdc_viewer (::quartus::sta)
report_clock_fmax_summary (::quartus::sta)
report_clock_network (::quartus::sta)
report_clock_transfers (::quartus::sta)
report_clocks (::quartus::sta)
report_datasheet (::quartus::sta)
report_ddr (::quartus::sta)
report_exceptions (::quartus::sta)
report_ini_usage (::quartus::sta)
report_logic_depth (::quartus::sta)
report_max_clock_skew (::quartus::sta)
report_max_skew (::quartus::sta)
report_metastability (::quartus::sta)
report_min_pulse_width (::quartus::sta)
report_neighbor_paths (::quartus::sta)
report_net_delay (::quartus::sta)
report_net_timing (::quartus::sta)
report_partitions (::quartus::sta)
report_path (::quartus::sta)
report_pipelining_info (::quartus::sta)
report_register_spread (::quartus::sta)
report_register_statistics (::quartus::sta)
report_retiming_restrictions (::quartus::sta)
report_route_net_of_interest (::quartus::sta)
report_rskm (::quartus::sta)
report_sdc (::quartus::sta)
report_skew (::quartus::sta)
report_tccs (::quartus::sta)
report_timing (::quartus::sta)
report_timing_by_source_files (::quartus::sta)
report_timing_tree (::quartus::sta)
report_ucp (::quartus::sta)
set_operating_conditions (::quartus::sta)
timing_netlist_exist (::quartus::sta)
update_timing_netlist (::quartus::sta)
use_timing_analyzer_style_escaping (::quartus::sta)
write_sdc (::quartus::sta)