Visible to Intel only — GUID: reference_TCL_tcl_pkg_external_memif_toolkit_ver_1_0_cmd_set_stress_pattern
Ixiasoft
2.1. Benefits of Command-Line Executables
2.2. Command-Line Scripting Help
2.3. Project Settings with Command-Line Options
2.4. Compilation with quartus_sh --flow
2.5. Text-Based Report Files
2.6. Using Command-Line Executables in Scripts
2.7. Common Scripting Examples
2.8. The QFlow Script
2.9. Command-Line Scripting Revision History
2.7.1. Create a Project and Apply Constraints
2.7.2. Check Design File Syntax
2.7.3. Create a Project and Synthesize a Netlist Using Netlist Optimizations
2.7.4. Archive and Restore Projects
2.7.5. Update Memory Contents Without Recompiling
2.7.6. Create Device Configuration Files
2.7.7. Fit a Design Using Multiple Seeds
3.1. Tool Command Language
3.2. The Intel® Quartus® Prime Tcl Console Window
3.3. Intel® Quartus® Prime Tcl Packages
3.4. Tcl Design Flow Controls
3.5. Automating Script Execution
3.6. Other Scripting Features
3.7. The Intel® Quartus® Prime Tcl Shell in Interactive Mode Example
3.8. The tclsh Shell
3.9. Tcl Scripting Basic Examples
3.10. Tcl Scripting Revision History
3.6.4.1. Design Entry (all names) Filter
3.6.4.2. Pins: assigned Filter
3.6.4.3. Pins: unassigned Filter
3.6.4.4. Pins: input Filter
3.6.4.5. Pins: output Filter
3.6.4.6. Pins: bidirectional Filter
3.6.4.7. Pins: virtual Filter
3.6.4.8. Pins: all Filter
3.6.4.9. Pins: all & Registers: post-fitting Filter
3.6.4.10. Ports: partition
3.6.4.11. Entity instance: pre-synthesis Filter
3.6.4.12. Registers: pre-synthesis Filter
3.6.4.13. Registers: post-fitting Filter
3.6.4.14. Post-synthesis Filter
3.6.4.15. Post-Compilation Filter
3.6.4.16. Signal Tap: pre-synthesis Filter
3.6.4.17. Signal Tap: post-fitting Filter
4.1.1. ::quartus::backannotate
4.1.2. ::quartus::bpps
4.1.3. ::quartus::chip_planner
4.1.4. ::quartus::dcmd_dms
4.1.5. ::quartus::dcmd_sdc
4.1.6. ::quartus::dcmd_sdc_collection_api
4.1.7. ::quartus::design
4.1.8. ::quartus::device
4.1.9. ::quartus::dni_sdc
4.1.10. ::quartus::drc
4.1.11. ::quartus::eco
4.1.12. ::quartus::external_memif_toolkit
4.1.13. ::quartus::fif
4.1.14. ::quartus::flng
4.1.15. ::quartus::flow
4.1.16. ::quartus::insystem_memory_edit
4.1.17. ::quartus::insystem_source_probe
4.1.18. ::quartus::interactive_synthesis
4.1.19. ::quartus::ipdrc
4.1.20. ::quartus::ipgen
4.1.21. ::quartus::iptclgen
4.1.22. ::quartus::jtag
4.1.23. ::quartus::logic_analyzer_interface
4.1.24. ::quartus::misc
4.1.25. ::quartus::names
4.1.26. ::quartus::periph
4.1.27. ::quartus::pfg
4.1.28. ::quartus::project
4.1.29. ::quartus::project_ui
4.1.30. ::quartus::qmtf
4.1.31. ::quartus::qshm
4.1.32. ::quartus::report
4.1.33. ::quartus::sdc
4.1.34. ::quartus::sdc_ext
4.1.35. ::quartus::sta
4.1.36. ::quartus::stp
4.1.37. ::quartus::tdc
4.1.38. ::quartus::uno
4.1.2.1. bpps::apply_assignments (::quartus::bpps)
4.1.2.2. bpps::check_plan (::quartus::bpps)
4.1.2.3. bpps::export_constraints_to_qsf (::quartus::bpps)
4.1.2.4. bpps::get_cell_info (::quartus::bpps)
4.1.2.5. bpps::get_device (::quartus::bpps)
4.1.2.6. bpps::get_hdbpath_from_id (::quartus::bpps)
4.1.2.7. bpps::get_id_from_hdbpath (::quartus::bpps)
4.1.2.8. bpps::get_location_info (::quartus::bpps)
4.1.2.9. bpps::get_placement (::quartus::bpps)
4.1.2.10. bpps::get_placement_info (::quartus::bpps)
4.1.2.11. bpps::get_placements (::quartus::bpps)
4.1.2.12. bpps::get_placements_of_group (::quartus::bpps)
4.1.2.13. bpps::harden_cell (::quartus::bpps)
4.1.2.14. bpps::harden_cells (::quartus::bpps)
4.1.2.15. bpps::initialize (::quartus::bpps)
4.1.2.16. bpps::load_floorplan (::quartus::bpps)
4.1.2.17. bpps::place_cells (::quartus::bpps)
4.1.2.18. bpps::read_tpl_placement (::quartus::bpps)
4.1.2.19. bpps::remove_invalid_reports (::quartus::bpps)
4.1.2.20. bpps::report_all (::quartus::bpps)
4.1.2.21. bpps::report_cell_connectivity (::quartus::bpps)
4.1.2.22. bpps::report_cell_placement_reasons (::quartus::bpps)
4.1.2.23. bpps::report_cells (::quartus::bpps)
4.1.2.24. bpps::report_clocks (::quartus::bpps)
4.1.2.25. bpps::report_legal_cell_locations (::quartus::bpps)
4.1.2.26. bpps::report_location_types (::quartus::bpps)
4.1.2.27. bpps::report_locations (::quartus::bpps)
4.1.2.28. bpps::report_regions (::quartus::bpps)
4.1.2.29. bpps::report_summary (::quartus::bpps)
4.1.2.30. bpps::reset_plan (::quartus::bpps)
4.1.2.31. bpps::save_floorplan (::quartus::bpps)
4.1.2.32. bpps::save_pin_assignments (::quartus::bpps)
4.1.2.33. bpps::select_dr_ips (::quartus::bpps)
4.1.2.34. bpps::set_mode (::quartus::bpps)
4.1.2.35. bpps::shutdown (::quartus::bpps)
4.1.2.36. bpps::soften_cell (::quartus::bpps)
4.1.2.37. bpps::soften_cells (::quartus::bpps)
4.1.2.38. bpps::undo_last_placement (::quartus::bpps)
4.1.2.39. bpps::unplace_cells (::quartus::bpps)
4.1.2.40. bpps::update_pdpw (::quartus::bpps)
4.1.2.41. bpps::validate_placement (::quartus::bpps)
4.1.2.42. bpps::write_plan (::quartus::bpps)
4.1.2.43. bpps::write_tpl_placement (::quartus::bpps)
4.1.3.1. check_node (::quartus::chip_planner)
4.1.3.2. close_chip_planner (::quartus::chip_planner)
4.1.3.3. design_has_ace_support (::quartus::chip_planner)
4.1.3.4. design_has_encrypted_ip (::quartus::chip_planner)
4.1.3.5. get_info_parameters (::quartus::chip_planner)
4.1.3.6. get_iports (::quartus::chip_planner)
4.1.3.7. get_node_by_name (::quartus::chip_planner)
4.1.3.8. get_node_info (::quartus::chip_planner)
4.1.3.9. get_nodes (::quartus::chip_planner)
4.1.3.10. get_oports (::quartus::chip_planner)
4.1.3.11. get_port_by_type (::quartus::chip_planner)
4.1.3.12. get_port_info (::quartus::chip_planner)
4.1.3.13. get_sp_pin_list (::quartus::chip_planner)
4.1.3.14. get_tile_power_setting (::quartus::chip_planner)
4.1.3.15. read_netlist (::quartus::chip_planner)
4.1.3.16. set_batch_mode (::quartus::chip_planner)
4.1.4.1. dni::apply_constraints (::quartus::dcmd_dms)
4.1.4.2. dni::color (::quartus::dcmd_dms)
4.1.4.3. dni::get_property (::quartus::dcmd_dms)
4.1.4.4. dni::highlight (::quartus::dcmd_dms)
4.1.4.5. dni::is_dni_mode (::quartus::dcmd_dms)
4.1.4.6. dni::is_dni_mode_for_developer_testing (::quartus::dcmd_dms)
4.1.4.7. dni::list_properties (::quartus::dcmd_dms)
4.1.4.8. dni::load_design (::quartus::dcmd_dms)
4.1.4.9. dni::selection (::quartus::dcmd_dms)
4.1.4.10. dni::set_property (::quartus::dcmd_dms)
4.1.4.11. dni::unload_design (::quartus::dcmd_dms)
4.1.5.1. dni::all_inputs (::quartus::dcmd_sdc)
4.1.5.2. dni::all_outputs (::quartus::dcmd_sdc)
4.1.5.3. dni::create_clock (::quartus::dcmd_sdc)
4.1.5.4. dni::current_design (::quartus::dcmd_sdc)
4.1.5.5. dni::current_instance (::quartus::dcmd_sdc)
4.1.5.6. dni::get_cells (::quartus::dcmd_sdc)
4.1.5.7. dni::get_designs (::quartus::dcmd_sdc)
4.1.5.8. dni::get_nets (::quartus::dcmd_sdc)
4.1.5.9. dni::get_pins (::quartus::dcmd_sdc)
4.1.5.10. dni::get_ports (::quartus::dcmd_sdc)
4.1.5.11. dni::read_sdc (::quartus::dcmd_sdc)
4.1.5.12. dni::set_time_format (::quartus::dcmd_sdc)
4.1.5.13. dni::set_time_unit (::quartus::dcmd_sdc)
4.1.5.14. dni::write_sdc (::quartus::dcmd_sdc)
4.1.6.1. dni::add_to_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.2. dni::append_to_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.3. dni::copy_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.4. dni::filter_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.5. dni::index_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.6. dni::remove_from_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.7. dni::sizeof_collection (::quartus::dcmd_sdc_collection_api)
4.1.6.8. dni::sort_collection (::quartus::dcmd_sdc_collection_api)
4.1.7.1. design::commit_design (::quartus::design)
4.1.7.2. design::convert_partition (::quartus::design)
4.1.7.3. design::create_assignment (::quartus::design)
4.1.7.4. design::delete_assignments (::quartus::design)
4.1.7.5. design::disable_assignments (::quartus::design)
4.1.7.6. design::enable_assignments (::quartus::design)
4.1.7.7. design::export_design (::quartus::design)
4.1.7.8. design::export_partition (::quartus::design)
4.1.7.9. design::extract_metadata (::quartus::design)
4.1.7.10. design::get_assignment_info (::quartus::design)
4.1.7.11. design::get_assignment_names (::quartus::design)
4.1.7.12. design::get_assignments (::quartus::design)
4.1.7.13. design::get_entity_names (::quartus::design)
4.1.7.14. design::get_instances (::quartus::design)
4.1.7.15. design::import_design (::quartus::design)
4.1.7.16. design::import_partition (::quartus::design)
4.1.7.17. design::list_valid_snapshot_names (::quartus::design)
4.1.7.18. design::load_design (::quartus::design)
4.1.7.19. design::report_assignments (::quartus::design)
4.1.7.20. design::set_assignment_info (::quartus::design)
4.1.9.1. dni::create_generated_clock (::quartus::dni_sdc)
4.1.9.2. dni::remove_clock_groups (::quartus::dni_sdc)
4.1.9.3. dni::remove_clock_latency (::quartus::dni_sdc)
4.1.9.4. dni::remove_clock_uncertainty (::quartus::dni_sdc)
4.1.9.5. dni::remove_disable_timing (::quartus::dni_sdc)
4.1.9.6. dni::remove_input_delay (::quartus::dni_sdc)
4.1.9.7. dni::remove_output_delay (::quartus::dni_sdc)
4.1.9.8. dni::set_clock_groups (::quartus::dni_sdc)
4.1.9.9. dni::set_clock_latency (::quartus::dni_sdc)
4.1.9.10. dni::set_clock_uncertainty (::quartus::dni_sdc)
4.1.9.11. dni::set_disable_timing (::quartus::dni_sdc)
4.1.9.12. dni::set_false_path (::quartus::dni_sdc)
4.1.9.13. dni::set_input_delay (::quartus::dni_sdc)
4.1.9.14. dni::set_input_transition (::quartus::dni_sdc)
4.1.9.15. dni::set_max_delay (::quartus::dni_sdc)
4.1.9.16. dni::set_max_time_borrow (::quartus::dni_sdc)
4.1.9.17. dni::set_min_delay (::quartus::dni_sdc)
4.1.9.18. dni::set_multicycle_path (::quartus::dni_sdc)
4.1.9.19. dni::set_operating_conditions (::quartus::dni_sdc)
4.1.9.20. dni::set_output_delay (::quartus::dni_sdc)
4.1.9.21. dni::set_sense (::quartus::dni_sdc)
4.1.9.22. dni::set_timing_derate (::quartus::dni_sdc)
4.1.10.1. drc::add_check_op (::quartus::drc)
4.1.10.2. drc::add_check_parameter (::quartus::drc)
4.1.10.3. drc::add_object (::quartus::drc)
4.1.10.4. drc::add_object_with_properties (::quartus::drc)
4.1.10.5. drc::add_property (::quartus::drc)
4.1.10.6. drc::add_rule (::quartus::drc)
4.1.10.7. drc::add_rule_violation (::quartus::drc)
4.1.10.8. drc::add_violation_record (::quartus::drc)
4.1.10.9. drc::add_waiver (::quartus::drc)
4.1.10.10. drc::check_design (::quartus::drc)
4.1.10.11. drc::delete_waivers (::quartus::drc)
4.1.10.12. drc::get_objects (::quartus::drc)
4.1.10.13. drc::get_option (::quartus::drc)
4.1.10.14. drc::get_property (::quartus::drc)
4.1.10.15. drc::get_stage_info (::quartus::drc)
4.1.10.16. drc::get_waivers (::quartus::drc)
4.1.10.17. drc::list_properties (::quartus::drc)
4.1.10.18. drc::report_waivers (::quartus::drc)
4.1.10.19. drc::set_option (::quartus::drc)
4.1.10.20. drc::set_property (::quartus::drc)
4.1.10.21. drc::should_run_drc (::quartus::drc)
4.1.10.22. drc::update_check_op (::quartus::drc)
4.1.10.23. drc::update_rule (::quartus::drc)
4.1.11.1. adjust_pll_refclk (::quartus::eco)
4.1.11.2. create_new_node (::quartus::eco)
4.1.11.3. create_wirelut (::quartus::eco)
4.1.11.4. eco_reroute (::quartus::eco)
4.1.11.5. eco_unload_design (::quartus::eco)
4.1.11.6. fitter_report_timing (::quartus::eco)
4.1.11.7. fitter_timing_summary (::quartus::eco)
4.1.11.8. get_available_snapshots (::quartus::eco)
4.1.11.9. get_eco_checkpoint (::quartus::eco)
4.1.11.10. get_loaded_snapshot (::quartus::eco)
4.1.11.11. get_lutmask_equation (::quartus::eco)
4.1.11.12. get_node_location (::quartus::eco)
4.1.11.13. make_connection (::quartus::eco)
4.1.11.14. modify_io_current_strength (::quartus::eco)
4.1.11.15. modify_io_delay_chain (::quartus::eco)
4.1.11.16. modify_io_slew_rate (::quartus::eco)
4.1.11.17. modify_lutmask (::quartus::eco)
4.1.11.18. place_node (::quartus::eco)
4.1.11.19. remove_connection (::quartus::eco)
4.1.11.20. remove_node (::quartus::eco)
4.1.11.21. report_connections (::quartus::eco)
4.1.11.22. report_legal_locations (::quartus::eco)
4.1.11.23. report_nodes_at_location (::quartus::eco)
4.1.11.24. eco::report_partitions (::quartus::eco)
4.1.11.25. report_ports (::quartus::eco)
4.1.11.26. report_routing (::quartus::eco)
4.1.11.27. report_unplaced_nodes (::quartus::eco)
4.1.11.28. restore_eco_checkpoint (::quartus::eco)
4.1.11.29. unplace_node (::quartus::eco)
4.1.11.30. update_mif_files (::quartus::eco)
4.1.12.1. apply_setting (::quartus::external_memif_toolkit)
4.1.12.2. calibrate_termination (::quartus::external_memif_toolkit)
4.1.12.3. configure_driver (::quartus::external_memif_toolkit)
4.1.12.4. create_connection_report (::quartus::external_memif_toolkit)
4.1.12.5. create_toolkit_report (::quartus::external_memif_toolkit)
4.1.12.6. driver_margining (::quartus::external_memif_toolkit)
4.1.12.7. establish_connection (::quartus::external_memif_toolkit)
4.1.12.8. generate_eye_diagram (::quartus::external_memif_toolkit)
4.1.12.9. get_connection_commands (::quartus::external_memif_toolkit)
4.1.12.10. get_connection_info (::quartus::external_memif_toolkit)
4.1.12.11. get_connection_interfaces (::quartus::external_memif_toolkit)
4.1.12.12. get_connection_report_info (::quartus::external_memif_toolkit)
4.1.12.13. get_connection_report_types (::quartus::external_memif_toolkit)
4.1.12.14. get_connection_types (::quartus::external_memif_toolkit)
4.1.12.15. get_connections (::quartus::external_memif_toolkit)
4.1.12.16. get_device_names (::quartus::external_memif_toolkit)
4.1.12.17. get_hardware_names (::quartus::external_memif_toolkit)
4.1.12.18. get_setting_types (::quartus::external_memif_toolkit)
4.1.12.19. get_toolkit_report_types (::quartus::external_memif_toolkit)
4.1.12.20. initialize_connections (::quartus::external_memif_toolkit)
4.1.12.21. link_project_to_device (::quartus::external_memif_toolkit)
4.1.12.22. read_setting (::quartus::external_memif_toolkit)
4.1.12.23. reindex_connections (::quartus::external_memif_toolkit)
4.1.12.24. reset_tg2 (::quartus::external_memif_toolkit)
4.1.12.25. run_connection_command (::quartus::external_memif_toolkit)
4.1.12.26. set_active_interface (::quartus::external_memif_toolkit)
4.1.12.27. set_stress_pattern (::quartus::external_memif_toolkit)
4.1.12.28. terminate_connection (::quartus::external_memif_toolkit)
4.1.12.29. terminate_connections (::quartus::external_memif_toolkit)
4.1.12.30. unlink_project_from_device (::quartus::external_memif_toolkit)
4.1.12.31. write_connection_target_report (::quartus::external_memif_toolkit)
4.1.13.1. check (::quartus::fif)
4.1.13.2. dump (::quartus::fif)
4.1.13.3. dump_cram_frame (::quartus::fif)
4.1.13.4. dump_mem (::quartus::fif)
4.1.13.5. dump_pr_bitstream (::quartus::fif)
4.1.13.6. generate (::quartus::fif)
4.1.13.7. get_frame_count (::quartus::fif)
4.1.13.8. get_frame_size (::quartus::fif)
4.1.13.9. get_sector_information_sdm_based_fpga (::quartus::fif)
4.1.13.10. get_sensitive_location (::quartus::fif)
4.1.13.11. get_sensitive_location_sdm_based_fpga (::quartus::fif)
4.1.13.12. setup (::quartus::fif)
4.1.13.13. setup_sdm_based_fpga (::quartus::fif)
4.1.13.14. terminate (::quartus::fif)
4.1.14.1. flng::add_object (::quartus::flng)
4.1.14.2. flng::add_property (::quartus::flng)
4.1.14.3. flng::bind_flow (::quartus::flng)
4.1.14.4. flng::delete_object (::quartus::flng)
4.1.14.5. flng::get_flow_list (::quartus::flng)
4.1.14.6. flng::get_next_available_id (::quartus::flng)
4.1.14.7. flng::get_object (::quartus::flng)
4.1.14.8. flng::get_objects (::quartus::flng)
4.1.14.9. flng::get_option (::quartus::flng)
4.1.14.10. flng::get_property (::quartus::flng)
4.1.14.11. flng::get_task_command (::quartus::flng)
4.1.14.12. flng::init_repository (::quartus::flng)
4.1.14.13. flng::list_properties (::quartus::flng)
4.1.14.14. flng::monitor_flow (::quartus::flng)
4.1.14.15. flng::run_flow (::quartus::flng)
4.1.14.16. flng::run_flow_command (::quartus::flng)
4.1.14.17. flng::set_option (::quartus::flng)
4.1.14.18. flng::set_property (::quartus::flng)
4.1.15.1. execute_flow (::quartus::flow)
4.1.15.2. execute_module (::quartus::flow)
4.1.15.3. get_flow_templates (::quartus::flow)
4.1.15.4. get_status_db_property (::quartus::flow)
4.1.15.5. write_flow_assignment_digest (::quartus::flow)
4.1.15.6. write_flow_finished (::quartus::flow)
4.1.15.7. write_flow_started (::quartus::flow)
4.1.15.8. write_flow_template (::quartus::flow)
4.1.16.1. begin_memory_edit (::quartus::insystem_memory_edit)
4.1.16.2. end_memory_edit (::quartus::insystem_memory_edit)
4.1.16.3. get_editable_mem_instances (::quartus::insystem_memory_edit)
4.1.16.4. read_content_from_memory (::quartus::insystem_memory_edit)
4.1.16.5. save_content_from_memory_to_file (::quartus::insystem_memory_edit)
4.1.16.6. update_content_to_memory_from_file (::quartus::insystem_memory_edit)
4.1.16.7. write_content_to_memory (::quartus::insystem_memory_edit)
4.1.17.1. end_insystem_source_probe (::quartus::insystem_source_probe)
4.1.17.2. get_insystem_source_probe_instance_info (::quartus::insystem_source_probe)
4.1.17.3. read_probe_data (::quartus::insystem_source_probe)
4.1.17.4. read_source_data (::quartus::insystem_source_probe)
4.1.17.5. start_insystem_source_probe (::quartus::insystem_source_probe)
4.1.17.6. write_source_data (::quartus::insystem_source_probe)
4.1.18.1. analyze_files (::quartus::interactive_synthesis)
4.1.18.2. check_rtl_connections (::quartus::interactive_synthesis)
4.1.18.3. dissolve_rtl_partition (::quartus::interactive_synthesis)
4.1.18.4. dynamic_report (::quartus::interactive_synthesis)
4.1.18.5. elaborate (::quartus::interactive_synthesis)
4.1.18.6. get_entities (::quartus::interactive_synthesis)
4.1.18.7. get_rtl_partition_name (::quartus::interactive_synthesis)
4.1.18.8. get_rtl_partitions (::quartus::interactive_synthesis)
4.1.18.9. link_rtl_design (::quartus::interactive_synthesis)
4.1.18.10. print_ipxact (::quartus::interactive_synthesis)
4.1.18.11. report_rtl_assignments (::quartus::interactive_synthesis)
4.1.18.12. report_rtl_parameters (::quartus::interactive_synthesis)
4.1.18.13. report_rtl_stats (::quartus::interactive_synthesis)
4.1.18.14. reset_rtl_design (::quartus::interactive_synthesis)
4.1.18.15. sasic (::quartus::interactive_synthesis)
4.1.18.16. save_rtl_design (::quartus::interactive_synthesis)
4.1.18.17. synthesize (::quartus::interactive_synthesis)
4.1.18.18. uniquify (::quartus::interactive_synthesis)
4.1.18.19. write_rtl_report (::quartus::interactive_synthesis)
4.1.19.1. ipdrc::get_device_speed (::quartus::ipdrc)
4.1.19.2. ipdrc::get_ip_hpaths (::quartus::ipdrc)
4.1.19.3. ipdrc::get_ip_name (::quartus::ipdrc)
4.1.19.4. ipdrc::get_ip_pma_modulation (::quartus::ipdrc)
4.1.19.5. ipdrc::get_ip_speed (::quartus::ipdrc)
4.1.19.6. ipdrc::get_ip_type (::quartus::ipdrc)
4.1.19.7. ipdrc::get_ip_xcvr_type (::quartus::ipdrc)
4.1.19.8. ipdrc::set_ip_info (::quartus::ipdrc)
4.1.22.1. close_device (::quartus::jtag)
4.1.22.2. device_dr_shift (::quartus::jtag)
4.1.22.3. device_ir_shift (::quartus::jtag)
4.1.22.4. device_lock (::quartus::jtag)
4.1.22.5. device_run_test_idle (::quartus::jtag)
4.1.22.6. device_unlock (::quartus::jtag)
4.1.22.7. device_virtual_dr_shift (::quartus::jtag)
4.1.22.8. device_virtual_ir_shift (::quartus::jtag)
4.1.22.9. get_device_names (::quartus::jtag)
4.1.22.10. get_hardware_names (::quartus::jtag)
4.1.22.11. open_device (::quartus::jtag)
4.1.23.1. begin_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
4.1.23.2. change_bank_to_output_pin (::quartus::logic_analyzer_interface)
4.1.23.3. end_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
4.1.23.4. get_current_state_of_output_pin (::quartus::logic_analyzer_interface)
4.1.23.5. tristate_output_pin (::quartus::logic_analyzer_interface)
4.1.24.1. checksum (::quartus::misc)
4.1.24.2. disable_natural_bus_naming (::quartus::misc)
4.1.24.3. enable_natural_bus_naming (::quartus::misc)
4.1.24.4. escape_brackets (::quartus::misc)
4.1.24.5. foreach_in_collection (::quartus::misc)
4.1.24.6. get_collection_size (::quartus::misc)
4.1.24.7. get_environment_info (::quartus::misc)
4.1.24.8. get_message_count (::quartus::misc)
4.1.24.9. init_tk (::quartus::misc)
4.1.24.10. load (::quartus::misc)
4.1.24.11. load_package (::quartus::misc)
4.1.24.12. post_message (::quartus::misc)
4.1.24.13. qerror (::quartus::misc)
4.1.24.14. qexec (::quartus::misc)
4.1.24.15. qexit (::quartus::misc)
4.1.24.16. record_tcl_cmd (::quartus::misc)
4.1.24.17. stopwatch (::quartus::misc)
4.1.26.1. periph::check_plan (::quartus::periph)
4.1.26.2. periph::get_cell_info (::quartus::periph)
4.1.26.3. periph::get_cells (::quartus::periph)
4.1.26.4. periph::get_location_info (::quartus::periph)
4.1.26.5. periph::get_placement_info (::quartus::periph)
4.1.26.6. periph::get_placements (::quartus::periph)
4.1.26.7. blueprint::initialize (::quartus::periph)
4.1.26.8. periph::load_floorplan (::quartus::periph)
4.1.26.9. periph::place_cells (::quartus::periph)
4.1.26.10. periph::remove_invalid_reports (::quartus::periph)
4.1.26.11. periph::report_all (::quartus::periph)
4.1.26.12. periph::report_cell_connectivity (::quartus::periph)
4.1.26.13. periph::report_cell_placement_reasons (::quartus::periph)
4.1.26.14. periph::report_cells (::quartus::periph)
4.1.26.15. periph::report_clocks (::quartus::periph)
4.1.26.16. periph::report_legal_cell_locations (::quartus::periph)
4.1.26.17. periph::report_location_types (::quartus::periph)
4.1.26.18. periph::report_locations (::quartus::periph)
4.1.26.19. periph::report_regions (::quartus::periph)
4.1.26.20. periph::report_summary (::quartus::periph)
4.1.26.21. periph::reset_plan (::quartus::periph)
4.1.26.22. periph::save_floorplan (::quartus::periph)
4.1.26.23. periph::set_clock_type (::quartus::periph)
4.1.26.24. blueprint::shutdown (::quartus::periph)
4.1.26.25. periph::undo_last_placement (::quartus::periph)
4.1.26.26. periph::unplace_cells (::quartus::periph)
4.1.26.27. periph::update_pdpw (::quartus::periph)
4.1.26.28. periph::update_plan (::quartus::periph)
4.1.26.29. periph::write_plan (::quartus::periph)
4.1.28.1. close_side_revision (::quartus::project)
4.1.28.2. create_revision (::quartus::project)
4.1.28.3. delete_revision (::quartus::project)
4.1.28.4. execute_assignment_batch (::quartus::project)
4.1.28.5. export_assignments (::quartus::project)
4.1.28.6. get_all_assignment_names (::quartus::project)
4.1.28.7. get_all_assignments (::quartus::project)
4.1.28.8. get_all_global_assignments (::quartus::project)
4.1.28.9. get_all_instance_assignments (::quartus::project)
4.1.28.10. get_all_parameters (::quartus::project)
4.1.28.11. get_all_quartus_defaults (::quartus::project)
4.1.28.12. get_all_user_option_names (::quartus::project)
4.1.28.13. get_assignment_info (::quartus::project)
4.1.28.14. get_assignment_name_info (::quartus::project)
4.1.28.15. get_current_project (::quartus::project)
4.1.28.16. get_current_revision (::quartus::project)
4.1.28.17. get_database_version (::quartus::project)
4.1.28.18. get_global_assignment (::quartus::project)
4.1.28.19. get_instance_assignment (::quartus::project)
4.1.28.20. get_location_assignment (::quartus::project)
4.1.28.21. get_name_info (::quartus::project)
4.1.28.22. get_names (::quartus::project)
4.1.28.23. get_parameter (::quartus::project)
4.1.28.24. get_project_directory (::quartus::project)
4.1.28.25. get_project_revisions (::quartus::project)
4.1.28.26. get_top_level_entity (::quartus::project)
4.1.28.27. get_user_option (::quartus::project)
4.1.28.28. is_database_version_compatible (::quartus::project)
4.1.28.29. is_fitter_in_qhd_mode (::quartus::project)
4.1.28.30. is_project_open (::quartus::project)
4.1.28.31. open_side_revision (::quartus::project)
4.1.28.32. project_archive (::quartus::project)
4.1.28.33. project_clean (::quartus::project)
4.1.28.34. project_close (::quartus::project)
4.1.28.35. project_exists (::quartus::project)
4.1.28.36. project_new (::quartus::project)
4.1.28.37. project_open (::quartus::project)
4.1.28.38. project_restore (::quartus::project)
4.1.28.39. remove_all_global_assignments (::quartus::project)
4.1.28.40. remove_all_instance_assignments (::quartus::project)
4.1.28.41. remove_all_parameters (::quartus::project)
4.1.28.42. resolve_file_path (::quartus::project)
4.1.28.43. revision_exists (::quartus::project)
4.1.28.44. set_current_revision (::quartus::project)
4.1.28.45. set_global_assignment (::quartus::project)
4.1.28.46. set_high_effort_fmax_optimization_assignments (::quartus::project)
4.1.28.47. set_instance_assignment (::quartus::project)
4.1.28.48. set_io_assignment (::quartus::project)
4.1.28.49. set_location_assignment (::quartus::project)
4.1.28.50. set_parameter (::quartus::project)
4.1.28.51. set_power_file_assignment (::quartus::project)
4.1.28.52. set_user_option (::quartus::project)
4.1.28.53. test_assignment_trait (::quartus::project)
4.1.29.1. assignment_group (::quartus::project_ui)
4.1.29.2. create_revision (::quartus::project_ui)
4.1.29.3. delete_revision (::quartus::project_ui)
4.1.29.4. execute_assignment_batch (::quartus::project_ui)
4.1.29.5. export_assignments (::quartus::project_ui)
4.1.29.6. get_all_assignment_names (::quartus::project_ui)
4.1.29.7. get_all_assignments (::quartus::project_ui)
4.1.29.8. get_all_global_assignments (::quartus::project_ui)
4.1.29.9. get_all_instance_assignments (::quartus::project_ui)
4.1.29.10. get_all_parameters (::quartus::project_ui)
4.1.29.11. get_all_quartus_defaults (::quartus::project_ui)
4.1.29.12. get_all_user_option_names (::quartus::project_ui)
4.1.29.13. get_assignment_info (::quartus::project_ui)
4.1.29.14. get_assignment_name_info (::quartus::project_ui)
4.1.29.15. get_current_project (::quartus::project_ui)
4.1.29.16. get_current_revision (::quartus::project_ui)
4.1.29.17. get_global_assignment (::quartus::project_ui)
4.1.29.18. get_instance_assignment (::quartus::project_ui)
4.1.29.19. get_location_assignment (::quartus::project_ui)
4.1.29.20. get_name_info (::quartus::project_ui)
4.1.29.21. get_names (::quartus::project_ui)
4.1.29.22. get_parameter (::quartus::project_ui)
4.1.29.23. get_project_directory (::quartus::project_ui)
4.1.29.24. get_project_revisions (::quartus::project_ui)
4.1.29.25. get_top_level_entity (::quartus::project_ui)
4.1.29.26. get_user_option (::quartus::project_ui)
4.1.29.27. is_fitter_in_qhd_mode (::quartus::project_ui)
4.1.29.28. is_project_open (::quartus::project_ui)
4.1.29.29. project_archive (::quartus::project_ui)
4.1.29.30. project_close (::quartus::project_ui)
4.1.29.31. project_exists (::quartus::project_ui)
4.1.29.32. project_new (::quartus::project_ui)
4.1.29.33. project_open (::quartus::project_ui)
4.1.29.34. project_restore (::quartus::project_ui)
4.1.29.35. remove_all_global_assignments (::quartus::project_ui)
4.1.29.36. remove_all_instance_assignments (::quartus::project_ui)
4.1.29.37. remove_all_parameters (::quartus::project_ui)
4.1.29.38. resolve_file_path (::quartus::project_ui)
4.1.29.39. revision_exists (::quartus::project_ui)
4.1.29.40. set_current_revision (::quartus::project_ui)
4.1.29.41. set_global_assignment (::quartus::project_ui)
4.1.29.42. set_instance_assignment (::quartus::project_ui)
4.1.29.43. set_io_assignment (::quartus::project_ui)
4.1.29.44. set_location_assignment (::quartus::project_ui)
4.1.29.45. set_parameter (::quartus::project_ui)
4.1.29.46. set_power_file_assignment (::quartus::project_ui)
4.1.29.47. set_user_option (::quartus::project_ui)
4.1.29.48. test_assignment_trait (::quartus::project_ui)
4.1.31.1. qshm_connect_to_quartus (::quartus::qshm)
4.1.31.2. qshm_disconnect_from_quartus (::quartus::qshm)
4.1.31.3. qshm_dispose_client (::quartus::qshm)
4.1.31.4. qshm_get_hub_key_prefix (::quartus::qshm)
4.1.31.5. qshm_get_parent_hub_key (::quartus::qshm)
4.1.31.6. qshm_obtain_client (::quartus::qshm)
4.1.31.7. qshm_send_request (::quartus::qshm)
4.1.31.8. qshm_send_server_state_query (::quartus::qshm)
4.1.31.9. qshm_set_context (::quartus::qshm)
4.1.32.1. add_row_to_table (::quartus::report)
4.1.32.2. create_report_panel (::quartus::report)
4.1.32.3. delete_report_panel (::quartus::report)
4.1.32.4. get_fitter_resource_usage (::quartus::report)
4.1.32.5. get_number_of_columns (::quartus::report)
4.1.32.6. get_number_of_rows (::quartus::report)
4.1.32.7. get_report_panel_column_index (::quartus::report)
4.1.32.8. get_report_panel_data (::quartus::report)
4.1.32.9. get_report_panel_id (::quartus::report)
4.1.32.10. get_report_panel_names (::quartus::report)
4.1.32.11. get_report_panel_row (::quartus::report)
4.1.32.12. get_report_panel_row_index (::quartus::report)
4.1.32.13. load_report (::quartus::report)
4.1.32.14. read_xml_report (::quartus::report)
4.1.32.15. refresh_report_window (::quartus::report)
4.1.32.16. save_report_database (::quartus::report)
4.1.32.17. unload_report (::quartus::report)
4.1.32.18. write_report_panel (::quartus::report)
4.1.32.19. write_xml_report (::quartus::report)
4.1.33.1. all_clocks (::quartus::sdc)
4.1.33.2. all_inputs (::quartus::sdc)
4.1.33.3. all_outputs (::quartus::sdc)
4.1.33.4. all_registers (::quartus::sdc)
4.1.33.5. create_clock (::quartus::sdc)
4.1.33.6. create_generated_clock (::quartus::sdc)
4.1.33.7. derive_clocks (::quartus::sdc)
4.1.33.8. get_cells (::quartus::sdc)
4.1.33.9. get_clocks (::quartus::sdc)
4.1.33.10. get_nets (::quartus::sdc)
4.1.33.11. get_pins (::quartus::sdc)
4.1.33.12. get_ports (::quartus::sdc)
4.1.33.13. remove_clock_groups (::quartus::sdc)
4.1.33.14. remove_clock_latency (::quartus::sdc)
4.1.33.15. remove_clock_uncertainty (::quartus::sdc)
4.1.33.16. remove_disable_timing (::quartus::sdc)
4.1.33.17. remove_input_delay (::quartus::sdc)
4.1.33.18. remove_output_delay (::quartus::sdc)
4.1.33.19. reset_design (::quartus::sdc)
4.1.33.20. set_clock_groups (::quartus::sdc)
4.1.33.21. set_clock_latency (::quartus::sdc)
4.1.33.22. set_clock_uncertainty (::quartus::sdc)
4.1.33.23. set_disable_timing (::quartus::sdc)
4.1.33.24. set_false_path (::quartus::sdc)
4.1.33.25. set_input_delay (::quartus::sdc)
4.1.33.26. set_input_transition (::quartus::sdc)
4.1.33.27. set_max_delay (::quartus::sdc)
4.1.33.28. set_max_time_borrow (::quartus::sdc)
4.1.33.29. set_min_delay (::quartus::sdc)
4.1.33.30. set_multicycle_path (::quartus::sdc)
4.1.33.31. set_output_delay (::quartus::sdc)
4.1.34.1. derive_clock_uncertainty (::quartus::sdc_ext)
4.1.34.2. derive_pll_clocks (::quartus::sdc_ext)
4.1.34.3. disable_min_pulse_width (::quartus::sdc_ext)
4.1.34.4. get_active_clocks (::quartus::sdc_ext)
4.1.34.5. get_fanins (::quartus::sdc_ext)
4.1.34.6. get_fanouts (::quartus::sdc_ext)
4.1.34.7. get_keepers (::quartus::sdc_ext)
4.1.34.8. get_nodes (::quartus::sdc_ext)
4.1.34.9. get_partitions (::quartus::sdc_ext)
4.1.34.10. get_registers (::quartus::sdc_ext)
4.1.34.11. remove_annotated_delay (::quartus::sdc_ext)
4.1.34.12. remove_clock (::quartus::sdc_ext)
4.1.34.13. reset_timing_derate (::quartus::sdc_ext)
4.1.34.14. set_active_clocks (::quartus::sdc_ext)
4.1.34.15. set_annotated_delay (::quartus::sdc_ext)
4.1.34.16. set_data_delay (::quartus::sdc_ext)
4.1.34.17. set_max_skew (::quartus::sdc_ext)
4.1.34.18. set_net_delay (::quartus::sdc_ext)
4.1.34.19. set_scc_mode (::quartus::sdc_ext)
4.1.34.20. set_time_format (::quartus::sdc_ext)
4.1.34.21. set_timing_derate (::quartus::sdc_ext)
4.1.35.1. add_to_collection (::quartus::sta)
4.1.35.2. check_timing (::quartus::sta)
4.1.35.3. create_report_histogram (::quartus::sta)
4.1.35.4. create_slack_histogram (::quartus::sta)
4.1.35.5. create_timing_netlist (::quartus::sta)
4.1.35.6. create_timing_summary (::quartus::sta)
4.1.35.7. delete_sta_collection (::quartus::sta)
4.1.35.8. delete_timing_netlist (::quartus::sta)
4.1.35.9. enable_ccpp_removal (::quartus::sta)
4.1.35.10. enable_sdc_extension_collections (::quartus::sta)
4.1.35.11. get_available_operating_conditions (::quartus::sta)
4.1.35.12. get_cell_info (::quartus::sta)
4.1.35.13. get_clock_domain_info (::quartus::sta)
4.1.35.14. get_clock_fmax_info (::quartus::sta)
4.1.35.15. get_clock_info (::quartus::sta)
4.1.35.16. get_clock_pair_info (::quartus::sta)
4.1.35.17. get_datasheet (::quartus::sta)
4.1.35.18. get_default_sdc_file_names (::quartus::sta)
4.1.35.19. get_edge_info (::quartus::sta)
4.1.35.20. get_entity_instances (::quartus::sta)
4.1.35.21. get_min_pulse_width (::quartus::sta)
4.1.35.22. get_net_info (::quartus::sta)
4.1.35.23. get_node_info (::quartus::sta)
4.1.35.24. get_object_info (::quartus::sta)
4.1.35.25. get_operating_conditions (::quartus::sta)
4.1.35.26. get_operating_conditions_info (::quartus::sta)
4.1.35.27. get_partition_info (::quartus::sta)
4.1.35.28. get_path (::quartus::sta)
4.1.35.29. get_path_info (::quartus::sta)
4.1.35.30. get_pin_info (::quartus::sta)
4.1.35.31. get_point_info (::quartus::sta)
4.1.35.32. get_port_info (::quartus::sta)
4.1.35.33. get_register_info (::quartus::sta)
4.1.35.34. get_timing_paths (::quartus::sta)
4.1.35.35. import_sdc (::quartus::sta)
4.1.35.36. is_post_syn_sta (::quartus::sta)
4.1.35.37. locate (::quartus::sta)
4.1.35.38. print_total_sdc_processing_time (::quartus::sta)
4.1.35.39. query_collection (::quartus::sta)
4.1.35.40. read_sdc (::quartus::sta)
4.1.35.41. register_delete_timing_netlist_callback (::quartus::sta)
4.1.35.42. remove_from_collection (::quartus::sta)
4.1.35.43. report_advanced_io_timing (::quartus::sta)
4.1.35.44. report_asynch_cdc (::quartus::sta)
4.1.35.45. report_bottleneck (::quartus::sta)
4.1.35.46. report_cdc_viewer (::quartus::sta)
4.1.35.47. report_clock_fmax_summary (::quartus::sta)
4.1.35.48. report_clock_network (::quartus::sta)
4.1.35.49. report_clock_transfers (::quartus::sta)
4.1.35.50. report_clocks (::quartus::sta)
4.1.35.51. report_datasheet (::quartus::sta)
4.1.35.52. report_ddr (::quartus::sta)
4.1.35.53. report_exceptions (::quartus::sta)
4.1.35.54. report_ini_usage (::quartus::sta)
4.1.35.55. report_logic_depth (::quartus::sta)
4.1.35.56. report_max_clock_skew (::quartus::sta)
4.1.35.57. report_max_skew (::quartus::sta)
4.1.35.58. report_metastability (::quartus::sta)
4.1.35.59. report_min_pulse_width (::quartus::sta)
4.1.35.60. report_neighbor_paths (::quartus::sta)
4.1.35.61. report_net_delay (::quartus::sta)
4.1.35.62. report_net_timing (::quartus::sta)
4.1.35.63. report_partitions (::quartus::sta)
4.1.35.64. report_path (::quartus::sta)
4.1.35.65. report_pipelining_info (::quartus::sta)
4.1.35.66. report_register_spread (::quartus::sta)
4.1.35.67. report_register_statistics (::quartus::sta)
4.1.35.68. report_retiming_restrictions (::quartus::sta)
4.1.35.69. report_route_net_of_interest (::quartus::sta)
4.1.35.70. report_rskm (::quartus::sta)
4.1.35.71. report_sdc (::quartus::sta)
4.1.35.72. report_skew (::quartus::sta)
4.1.35.73. report_tccs (::quartus::sta)
4.1.35.74. report_timing (::quartus::sta)
4.1.35.75. report_timing_by_source_files (::quartus::sta)
4.1.35.76. report_timing_tree (::quartus::sta)
4.1.35.77. report_ucp (::quartus::sta)
4.1.35.78. set_operating_conditions (::quartus::sta)
4.1.35.79. timing_netlist_exist (::quartus::sta)
4.1.35.80. update_timing_netlist (::quartus::sta)
4.1.35.81. use_timing_analyzer_style_escaping (::quartus::sta)
4.1.35.82. write_sdc (::quartus::sta)
Visible to Intel only — GUID: reference_TCL_tcl_pkg_external_memif_toolkit_ver_1_0_cmd_set_stress_pattern
Ixiasoft
4.1.12.27. set_stress_pattern (::quartus::external_memif_toolkit)
The following table displays information for the set_stress_pattern Tcl command:
Tcl Package and Version | Belongs to ::quartus::external_memif_toolkit |
||
Syntax | set_stress_pattern [-h | -help] [-long_help] -id <name> -value <value> | ||
Arguments | -h | -help | Short help | |
-long_help | Long help with examples and possible return values | ||
-id <name> | The connection ID to communicate with | ||
-value <value> | 1 to enable stress pattern in calibration, 0 to disable | ||
Description | Enable or disable the stress pattern for future calibrations. |
||
Example Usage | |
||
Return Value | Code Name | Code | String Return |
TCL_OK | 0 | INFO: Operation successful | |
TCL_ERROR | 1 | ERROR: The specified connection ID <string> is illegal. Please specify a valid connection ID. | |
TCL_ERROR | 1 | ERROR: The currently opened project has not been linked to a device. Run link_project_to_device to link a project to a device. | |
TCL_ERROR | 1 | ERROR: Toolkit has not been initialized. Use initialize_connections to initialize the toolkit. |