Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.33.15. remove_clock_uncertainty (::quartus::sdc)

The following table displays information for the remove_clock_uncertainty Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc

Syntax remove_clock_uncertainty [-h | -help] [-long_help] -from <from_clock> -to <to_clock>
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-from <from_clock> Valid destinations (string patterns are matched using Tcl string matching)
-to <to_clock> Valid destinations (string patterns are matched using Tcl string matching)
Description
Removes clock uncertainty from a collection of clocks to a collection
of clocks. The source and destination clocks can be any arbitrary
collection of clocks. This command removes all uncertainty between two
clocks. If there does not exist uncertainty between two clocks
specified in remove_clock_uncertainty, the command does nothing for
those two clocks but continues to attempt to remove uncertainty
between other clocks specified.

The values of the -from and -to options are either collections or a
Tcl list of wildcards used to create collections of appropriate types.
The values used must follow standard Tcl or Timing Analyzer-extension
substitution rules.  See the help for use_timing_analyzer_style_escaping for
details.  
Example Usage
set_clock_uncertainty -setup -rise_from {clk1 clk2} -fall_to {clk3 clk4} 200ps
set_clock_uncertainty -from {clk5 clk6} -to {clk7 clk8} 300ps
remove_clock_uncertainty -from {clk3 clk5} -to {clk4 clk7}
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful