Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.15. ::quartus::flow

The following table displays information for the ::quartus::flow Tcl package:

Tcl Package and Version ::quartus::flow 1.1
Description
This package contains the set of Tcl functions
for running flows or command-line executables.
Availability
This package is available for loading in the following executables:

    hdb_debug
    qpro
    qpro_sh
    quartus
    quartus_cdb
    quartus_drc
    quartus_eda
    quartus_fit
    quartus_ipgenerate
    quartus_map
    quartus_sh
    quartus_si
    quartus_sim
    quartus_sta
    quartus_stp
    quartus_syn
    quartus_tlg
Tcl Commands
execute_flow (::quartus::flow)
execute_module (::quartus::flow)
get_flow_templates (::quartus::flow)
get_status_db_property (::quartus::flow)
write_flow_assignment_digest (::quartus::flow)
write_flow_finished (::quartus::flow)
write_flow_started (::quartus::flow)
write_flow_template (::quartus::flow)