Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.2. ::quartus::bpps

The following table displays information for the ::quartus::bpps Tcl package:

Tcl Package and Version ::quartus::bpps 1.0
Description
This package provides non-backend support for pin-planner mode in Interface Planner.
Availability
This package is loaded by default in the following executables:

    qacv
    qppl
    qpro
    quartus
    quartus_bpps
    quartus_drc
    quartus_pdp
    quartus_pow
    quartus_sta
    quartus_staw
Tcl Commands
bpps::apply_assignments (::quartus::bpps)
bpps::check_plan (::quartus::bpps)
bpps::export_constraints_to_qsf (::quartus::bpps)
bpps::get_cell_info (::quartus::bpps)
bpps::get_device (::quartus::bpps)
bpps::get_hdbpath_from_id (::quartus::bpps)
bpps::get_id_from_hdbpath (::quartus::bpps)
bpps::get_location_info (::quartus::bpps)
bpps::get_placement (::quartus::bpps)
bpps::get_placement_info (::quartus::bpps)
bpps::get_placements (::quartus::bpps)
bpps::get_placements_of_group (::quartus::bpps)
bpps::harden_cell (::quartus::bpps)
bpps::harden_cells (::quartus::bpps)
bpps::initialize (::quartus::bpps)
bpps::load_floorplan (::quartus::bpps)
bpps::place_cells (::quartus::bpps)
bpps::read_tpl_placement (::quartus::bpps)
bpps::remove_invalid_reports (::quartus::bpps)
bpps::report_all (::quartus::bpps)
bpps::report_cell_connectivity (::quartus::bpps)
bpps::report_cell_placement_reasons (::quartus::bpps)
bpps::report_cells (::quartus::bpps)
bpps::report_clocks (::quartus::bpps)
bpps::report_legal_cell_locations (::quartus::bpps)
bpps::report_location_types (::quartus::bpps)
bpps::report_locations (::quartus::bpps)
bpps::report_regions (::quartus::bpps)
bpps::report_summary (::quartus::bpps)
bpps::reset_plan (::quartus::bpps)
bpps::save_floorplan (::quartus::bpps)
bpps::save_pin_assignments (::quartus::bpps)
bpps::select_dr_ips (::quartus::bpps)
bpps::set_mode (::quartus::bpps)
bpps::shutdown (::quartus::bpps)
bpps::soften_cell (::quartus::bpps)
bpps::soften_cells (::quartus::bpps)
bpps::undo_last_placement (::quartus::bpps)
bpps::unplace_cells (::quartus::bpps)
bpps::update_pdpw (::quartus::bpps)
bpps::validate_placement (::quartus::bpps)
bpps::write_plan (::quartus::bpps)
bpps::write_tpl_placement (::quartus::bpps)