Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 4/01/2024
Public
Document Table of Contents

4.1.3.32. bpps::save_pin_assignments (::quartus::bpps)

The following table displays information for the bpps::save_pin_assignments Tcl command:

Tcl Package and Version

Belongs to ::quartus::bpps

Syntax bpps::save_pin_assignments [-h | -help] [-long_help]
Arguments -h | -help Short help
  -long_help Long help with examples and possible return values
Description
	Write the Interface Planner floorplan that can be reloaded in Interface Planner
Example Usage
	project_open onewire_nf

	blueprint::initialize

	bpps::update_plan

	bpps::save_pin_assignments 

	project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful