Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 4/01/2024
Public
Document Table of Contents

4.1.27. ::quartus::proj_asgn

The following table displays information for the ::quartus::proj_asgn Tcl package:

Tcl Package and Version ::quartus::proj_asgn 1.0
Description
This package contains the set of Tcl functions for making
project-wide assignments.

In versions before 4.0 of this package, the full path of
the source file assignment was returned when you accessed
the assignment through the "get_global_assignment" or
"get_all_global_assignments" command.

In version 4.0 of this package, the actual value of the source
file assignment stored in the Quartus Prime Settings File (.qsf)
is returned. To get the resolved full path of the file, use
the "resolve_file_path" command. For more information about
resolving file names and view an example, type
"resolve_file_path -long_help".

In version 5.0 of this package, two new Tcl commands
"get_all_assignments" and "get_assignment_info" have been
introduced to replace the following commands:

	get_all_quartus_defaults
	get_all_global_assignments
	get_all_instance_assignments
	get_all_parameters

These two new commands simplify the interface to retrieve
information about Quartus Prime Settings File (.qsf) and
Quartus Prime Default Settings File (.qdf) assignments.

In addition, the new "assignment_group" command replaces
the deprecated "timegroup" command.

In version 6.0, all Tcl commands designed to process
Timing Analyzer assignments have been moved to the
::quartus::timing_assignment package.
Availability
This package is loaded by default in the following executables:

    qpro
    quartus
Tcl Commands
create_revision (::quartus::proj_asgn)
generate_project_tcl (::quartus::proj_asgn)
get_name_info (::quartus::proj_asgn)
get_names (::quartus::proj_asgn)
get_top_level_entity (::quartus::proj_asgn)
is_fitter_in_qhd_mode (::quartus::proj_asgn)