Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3. Tcl Scripting

You can use Tcl scripts, as an alternative to the GUI, to control the function and operation of Intel® Quartus® Prime software.

For example, you can use Tcl scripts to perform the following tasks:

  • Manage Intel® Quartus® Prime projects
  • Specify assignments and constraints
  • Compile your design
  • Perform timing analysis
  • Generate and view reports about your project

You can also use Tcl scripts to migrate a project or project settings. For example, when working with different projects targeting the same prototype or development board, you can define a Tcl script to automate pin assignments for each project, rather than entering the assignments individually in the GUI. You can automatically generate a Tcl script based on current project assignments, which simplifies transferring the assignments to another project.

The Intel® Quartus® Prime software Tcl commands follow familiar EDA industry Tcl application programming interface (API) standards for command-line options. If you encounter an error with a command argument, the Tcl interpreter includes help information showing correct usage.

This chapter includes sample Tcl scripts for automating tasks in the Intel® Quartus® Prime software, along with a complete reference of all supported Tcl commands and arguments. You can modify the example scripts for use with your own designs. Refer to Design Examples section of the Support area on the Intel website.