Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1.33.26. set_input_transition (::quartus::sdc)

The following table displays information for the set_input_transition Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc

Syntax set_input_transition [-h | -help] [-long_help] [-clock <name> ] [-clock_fall] [-fall] [-max] [-min] [-rise] <transition> <ports>
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-clock <name> Clock name
-clock_fall Specifies that input delay is relative to the falling edge of the clock
-fall Specifies the falling output delay at the port
-max Applies value as maximum data required time
-min Applies value as minimum data required time
-rise Specifies the rising output delay at the port
<transition> Time value
<ports> Collection or list of input or bidir ports
Description
This constraint does not affect calculations performed by
the Timing Analyzer. It only affects PrimeTime analysis. 
If you set this constraint in the Timing Analyzer the constraint is
written out to the SDC file when you call write_sdc.
Example Usage
set_input_transition 50 [all_inputs]
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Incorrect collection type. Expected a collection of type <string>.
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.