Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 12/13/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.8. ::quartus::external_memif_toolkit

The following table displays information for the ::quartus::external_memif_toolkit Tcl package:

Tcl Package and Version ::quartus::external_memif_toolkit 1.0
Description
This package contains the set of Tcl functions for interacting with external memory
interfaces and debug components
Availability
This package is available for loading in the following executables:

    qpro_sh
    quartus_sh
Tcl Commands
apply_setting (::quartus::external_memif_toolkit)
calibrate_termination (::quartus::external_memif_toolkit)
configure_driver (::quartus::external_memif_toolkit)
create_connection_report (::quartus::external_memif_toolkit)
create_toolkit_report (::quartus::external_memif_toolkit)
driver_margining (::quartus::external_memif_toolkit)
establish_connection (::quartus::external_memif_toolkit)
generate_eye_diagram (::quartus::external_memif_toolkit)
get_connection_commands (::quartus::external_memif_toolkit)
get_connection_info (::quartus::external_memif_toolkit)
get_connection_interfaces (::quartus::external_memif_toolkit)
get_connection_report_info (::quartus::external_memif_toolkit)
get_connection_report_types (::quartus::external_memif_toolkit)
get_connection_types (::quartus::external_memif_toolkit)
get_connections (::quartus::external_memif_toolkit)
get_device_names (::quartus::external_memif_toolkit)
get_hardware_names (::quartus::external_memif_toolkit)
get_setting_types (::quartus::external_memif_toolkit)
get_toolkit_report_types (::quartus::external_memif_toolkit)
initialize_connections (::quartus::external_memif_toolkit)
link_project_to_device (::quartus::external_memif_toolkit)
read_setting (::quartus::external_memif_toolkit)
reindex_connections (::quartus::external_memif_toolkit)
reset_tg2 (::quartus::external_memif_toolkit)
run_connection_command (::quartus::external_memif_toolkit)
set_active_interface (::quartus::external_memif_toolkit)
set_stress_pattern (::quartus::external_memif_toolkit)
terminate_connection (::quartus::external_memif_toolkit)
terminate_connections (::quartus::external_memif_toolkit)
unlink_project_from_device (::quartus::external_memif_toolkit)
write_connection_target_report (::quartus::external_memif_toolkit)