Visible to Intel only — GUID: reference_TCL_tcl_pkg_flow_ver_1_1_cmd_execute_module
Ixiasoft
1.1. Benefits of Command-Line Executables
1.2. Command-Line Scripting Help
1.3. Project Settings with Command-Line Options
1.4. Compilation with quartus_sh --flow
1.5. Text-Based Report Files
1.6. Using Command-Line Executables in Scripts
1.7. Common Scripting Examples
1.8. The QFlow Script
1.9. Command-Line Scripting Revision History
1.7.1. Create a Project and Apply Constraints
1.7.2. Check Design File Syntax
1.7.3. Create a Project and Synthesize a Netlist Using Netlist Optimizations
1.7.4. Archive and Restore Projects
1.7.5. Update Memory Contents Without Recompiling
1.7.6. Create Device Configuration Files
1.7.7. Fit a Design Using Multiple Seeds
2.1. Tool Command Language
2.2. The Intel® Quartus® Prime Tcl Console Window
2.3. Intel® Quartus® Prime Tcl Packages
2.4. Tcl Design Flow Controls
2.5. Automating Script Execution
2.6. Other Scripting Features
2.7. The Intel® Quartus® Prime Tcl Shell in Interactive Mode Example
2.8. The tclsh Shell
2.9. Tcl Scripting Basic Examples
2.10. Tcl Scripting Revision History
2.6.4.1. Design Entry (all names) Filter
2.6.4.2. Pins: assigned Filter
2.6.4.3. Pins: unassigned Filter
2.6.4.4. Pins: input Filter
2.6.4.5. Pins: output Filter
2.6.4.6. Pins: bidirectional Filter
2.6.4.7. Pins: virtual Filter
2.6.4.8. Pins: all Filter
2.6.4.9. Pins: all & Registers: post-fitting Filter
2.6.4.10. Ports: partition
2.6.4.11. Entity instance: pre-synthesis Filter
2.6.4.12. Registers: pre-synthesis Filter
2.6.4.13. Registers: post-fitting Filter
2.6.4.14. Post-synthesis Filter
2.6.4.15. Post-Compilation Filter
2.6.4.16. Signal Tap: pre-synthesis Filter
2.6.4.17. Signal Tap: post-fitting Filter
3.1.1. ::quartus::backannotate
3.1.2. ::quartus::bpps
3.1.3. ::quartus::chip_planner
3.1.4. ::quartus::design
3.1.5. ::quartus::device
3.1.6. ::quartus::drc
3.1.7. ::quartus::eco
3.1.8. ::quartus::external_memif_toolkit
3.1.9. ::quartus::fif
3.1.10. ::quartus::flng
3.1.11. ::quartus::flow
3.1.123.1.12.1. Example of a Tcl Script
3.1.13. ::quartus::insystem_memory_edit
3.1.14. ::quartus::insystem_source_probe
3.1.15. ::quartus::interactive_synthesis
3.1.16. ::quartus::ipgen
3.1.17. ::quartus::iptclgen
3.1.18. ::quartus::jtag
3.1.19. ::quartus::logic_analyzer_interface
3.1.20. ::quartus::misc
3.1.21. ::quartus::names
3.1.22. ::quartus::periph
3.1.23. ::quartus::pfg
3.1.24. ::quartus::project
3.1.25. ::quartus::qshm
3.1.26. ::quartus::report
3.1.27. ::quartus::sdc
3.1.28. ::quartus::sdc_ext
3.1.29. ::quartus::sta
3.1.30. ::quartus::stp
3.1.31. ::quartus::tdc
3.1.32. ::quartus::uno
3.1.2.1. bpps::apply_assignments (::quartus::bpps)
3.1.2.2. bpps::check_plan (::quartus::bpps)
3.1.2.3. bpps::export_constraints_to_qsf (::quartus::bpps)
3.1.2.4. bpps::get_cell_info (::quartus::bpps)
3.1.2.5. bpps::get_device (::quartus::bpps)
3.1.2.6. bpps::get_hdbpath_from_id (::quartus::bpps)
3.1.2.7. bpps::get_id_from_hdbpath (::quartus::bpps)
3.1.2.8. bpps::get_location_info (::quartus::bpps)
3.1.2.9. bpps::get_placement (::quartus::bpps)
3.1.2.10. bpps::get_placement_info (::quartus::bpps)
3.1.2.11. bpps::get_placements (::quartus::bpps)
3.1.2.12. bpps::get_placements_of_group (::quartus::bpps)
3.1.2.13. bpps::harden_cell (::quartus::bpps)
3.1.2.14. bpps::harden_cells (::quartus::bpps)
3.1.2.15. bpps::initialize (::quartus::bpps)
3.1.2.16. bpps::load_floorplan (::quartus::bpps)
3.1.2.17. bpps::place_cells (::quartus::bpps)
3.1.2.18. bpps::read_tpl_placement (::quartus::bpps)
3.1.2.19. bpps::remove_invalid_reports (::quartus::bpps)
3.1.2.20. bpps::report_all (::quartus::bpps)
3.1.2.21. bpps::report_cell_connectivity (::quartus::bpps)
3.1.2.22. bpps::report_cell_placement_reasons (::quartus::bpps)
3.1.2.23. bpps::report_cells (::quartus::bpps)
3.1.2.24. bpps::report_clocks (::quartus::bpps)
3.1.2.25. bpps::report_legal_cell_locations (::quartus::bpps)
3.1.2.26. bpps::report_location_types (::quartus::bpps)
3.1.2.27. bpps::report_locations (::quartus::bpps)
3.1.2.28. bpps::report_regions (::quartus::bpps)
3.1.2.29. bpps::report_summary (::quartus::bpps)
3.1.2.30. bpps::reset_plan (::quartus::bpps)
3.1.2.31. bpps::save_floorplan (::quartus::bpps)
3.1.2.32. bpps::save_pin_assignments (::quartus::bpps)
3.1.2.33. bpps::set_mode (::quartus::bpps)
3.1.2.34. bpps::shutdown (::quartus::bpps)
3.1.2.35. bpps::soften_cell (::quartus::bpps)
3.1.2.36. bpps::soften_cells (::quartus::bpps)
3.1.2.37. bpps::undo_last_placement (::quartus::bpps)
3.1.2.38. bpps::unplace_cells (::quartus::bpps)
3.1.2.39. bpps::update_pdpw (::quartus::bpps)
3.1.2.40. bpps::validate_placement (::quartus::bpps)
3.1.2.41. bpps::write_plan (::quartus::bpps)
3.1.2.42. bpps::write_tpl_placement (::quartus::bpps)
3.1.3.1. check_node (::quartus::chip_planner)
3.1.3.2. close_chip_planner (::quartus::chip_planner)
3.1.3.3. design_has_ace_support (::quartus::chip_planner)
3.1.3.4. design_has_encrypted_ip (::quartus::chip_planner)
3.1.3.5. get_info_parameters (::quartus::chip_planner)
3.1.3.6. get_iports (::quartus::chip_planner)
3.1.3.7. get_node_by_name (::quartus::chip_planner)
3.1.3.8. get_oports (::quartus::chip_planner)
3.1.3.9. get_port_by_type (::quartus::chip_planner)
3.1.3.10. get_sp_pin_list (::quartus::chip_planner)
3.1.3.11. get_tile_power_setting (::quartus::chip_planner)
3.1.3.12. read_netlist (::quartus::chip_planner)
3.1.3.13. set_batch_mode (::quartus::chip_planner)
3.1.4.1. design::commit_design (::quartus::design)
3.1.4.2. design::convert_partition (::quartus::design)
3.1.4.3. design::create_assignment (::quartus::design)
3.1.4.4. design::delete_assignments (::quartus::design)
3.1.4.5. design::disable_assignments (::quartus::design)
3.1.4.6. design::enable_assignments (::quartus::design)
3.1.4.7. design::export_design (::quartus::design)
3.1.4.8. design::export_partition (::quartus::design)
3.1.4.9. design::extract_metadata (::quartus::design)
3.1.4.10. design::get_assignment_info (::quartus::design)
3.1.4.11. design::get_assignment_names (::quartus::design)
3.1.4.12. design::get_assignments (::quartus::design)
3.1.4.13. design::get_entity_names (::quartus::design)
3.1.4.14. design::get_instances (::quartus::design)
3.1.4.15. design::import_design (::quartus::design)
3.1.4.16. design::import_partition (::quartus::design)
3.1.4.17. design::list_valid_snapshot_names (::quartus::design)
3.1.4.18. design::load_design (::quartus::design)
3.1.4.19. design::report_assignments (::quartus::design)
3.1.4.20. design::set_assignment_info (::quartus::design)
3.1.6.1. drc::add_check_op (::quartus::drc)
3.1.6.2. drc::add_check_parameter (::quartus::drc)
3.1.6.3. drc::add_object (::quartus::drc)
3.1.6.4. drc::add_object_with_properties (::quartus::drc)
3.1.6.5. drc::add_property (::quartus::drc)
3.1.6.6. drc::add_rule (::quartus::drc)
3.1.6.7. drc::add_rule_violation (::quartus::drc)
3.1.6.8. drc::add_violation_record (::quartus::drc)
3.1.6.9. drc::check_design (::quartus::drc)
3.1.6.10. drc::delete_waivers (::quartus::drc)
3.1.6.11. drc::get_objects (::quartus::drc)
3.1.6.12. drc::get_option (::quartus::drc)
3.1.6.13. drc::get_property (::quartus::drc)
3.1.6.14. drc::get_stage_info (::quartus::drc)
3.1.6.15. drc::get_waivers (::quartus::drc)
3.1.6.16. drc::list_properties (::quartus::drc)
3.1.6.17. drc::report_waivers (::quartus::drc)
3.1.6.18. drc::set_option (::quartus::drc)
3.1.6.19. drc::set_property (::quartus::drc)
3.1.6.20. drc::should_run_drc (::quartus::drc)
3.1.6.21. drc::update_check_op (::quartus::drc)
3.1.6.22. drc::update_rule (::quartus::drc)
3.1.7.1. adjust_pll_refclk (::quartus::eco)
3.1.7.2. create_wirelut (::quartus::eco)
3.1.7.3. eco_reroute (::quartus::eco)
3.1.7.4. eco_unload_design (::quartus::eco)
3.1.7.5. fitter_report_timing (::quartus::eco)
3.1.7.6. fitter_timing_summary (::quartus::eco)
3.1.7.7. get_available_snapshots (::quartus::eco)
3.1.7.8. get_eco_checkpoint (::quartus::eco)
3.1.7.9. get_loaded_snapshot (::quartus::eco)
3.1.7.10. get_lutmask_equation (::quartus::eco)
3.1.7.11. get_node_location (::quartus::eco)
3.1.7.12. make_connection (::quartus::eco)
3.1.7.13. modify_io_current_strength (::quartus::eco)
3.1.7.14. modify_io_delay_chain (::quartus::eco)
3.1.7.15. modify_io_slew_rate (::quartus::eco)
3.1.7.16. place_node (::quartus::eco)
3.1.7.17. remove_connection (::quartus::eco)
3.1.7.18. remove_node (::quartus::eco)
3.1.7.19. report_connections (::quartus::eco)
3.1.7.20. report_legal_locations (::quartus::eco)
3.1.7.21. report_nodes_at_location (::quartus::eco)
3.1.7.22. report_ports (::quartus::eco)
3.1.7.23. report_routing (::quartus::eco)
3.1.7.24. report_unplaced_nodes (::quartus::eco)
3.1.7.25. restore_eco_checkpoint (::quartus::eco)
3.1.7.26. unplace_node (::quartus::eco)
3.1.7.27. update_mif_files (::quartus::eco)
3.1.8.1. apply_setting (::quartus::external_memif_toolkit)
3.1.8.2. calibrate_termination (::quartus::external_memif_toolkit)
3.1.8.3. configure_driver (::quartus::external_memif_toolkit)
3.1.8.4. create_connection_report (::quartus::external_memif_toolkit)
3.1.8.5. create_toolkit_report (::quartus::external_memif_toolkit)
3.1.8.6. driver_margining (::quartus::external_memif_toolkit)
3.1.8.7. establish_connection (::quartus::external_memif_toolkit)
3.1.8.8. generate_eye_diagram (::quartus::external_memif_toolkit)
3.1.8.9. get_connection_commands (::quartus::external_memif_toolkit)
3.1.8.10. get_connection_info (::quartus::external_memif_toolkit)
3.1.8.11. get_connection_interfaces (::quartus::external_memif_toolkit)
3.1.8.12. get_connection_report_info (::quartus::external_memif_toolkit)
3.1.8.13. get_connection_report_types (::quartus::external_memif_toolkit)
3.1.8.14. get_connection_types (::quartus::external_memif_toolkit)
3.1.8.15. get_connections (::quartus::external_memif_toolkit)
3.1.8.16. get_setting_types (::quartus::external_memif_toolkit)
3.1.8.17. get_toolkit_report_types (::quartus::external_memif_toolkit)
3.1.8.18. initialize_connections (::quartus::external_memif_toolkit)
3.1.8.19. link_project_to_device (::quartus::external_memif_toolkit)
3.1.8.20. read_setting (::quartus::external_memif_toolkit)
3.1.8.21. reindex_connections (::quartus::external_memif_toolkit)
3.1.8.22. reset_tg2 (::quartus::external_memif_toolkit)
3.1.8.23. run_connection_command (::quartus::external_memif_toolkit)
3.1.8.24. set_active_interface (::quartus::external_memif_toolkit)
3.1.8.25. set_stress_pattern (::quartus::external_memif_toolkit)
3.1.8.26. terminate_connection (::quartus::external_memif_toolkit)
3.1.8.27. terminate_connections (::quartus::external_memif_toolkit)
3.1.8.28. unlink_project_from_device (::quartus::external_memif_toolkit)
3.1.8.29. write_connection_target_report (::quartus::external_memif_toolkit)
3.1.9.1. check (::quartus::fif)
3.1.9.2. dump (::quartus::fif)
3.1.9.3. dump_cram_frame (::quartus::fif)
3.1.9.4. dump_mem (::quartus::fif)
3.1.9.5. dump_pr_bitstream (::quartus::fif)
3.1.9.6. generate (::quartus::fif)
3.1.9.7. get_frame_count (::quartus::fif)
3.1.9.8. get_frame_size (::quartus::fif)
3.1.9.9. get_sector_information_sdm_based_fpga (::quartus::fif)
3.1.9.10. get_sensitive_location (::quartus::fif)
3.1.9.11. get_sensitive_location_sdm_based_fpga (::quartus::fif)
3.1.9.12. setup (::quartus::fif)
3.1.9.13. setup_sdm_based_fpga (::quartus::fif)
3.1.9.14. terminate (::quartus::fif)
3.1.10.1. flng::add_object (::quartus::flng)
3.1.10.2. flng::add_property (::quartus::flng)
3.1.10.3. flng::bind_flow (::quartus::flng)
3.1.10.4. flng::delete_object (::quartus::flng)
3.1.10.5. flng::get_flow_list (::quartus::flng)
3.1.10.6. flng::get_next_available_id (::quartus::flng)
3.1.10.7. flng::get_object (::quartus::flng)
3.1.10.8. flng::get_objects (::quartus::flng)
3.1.10.9. flng::get_option (::quartus::flng)
3.1.10.10. flng::get_property (::quartus::flng)
3.1.10.11. flng::get_task_command (::quartus::flng)
3.1.10.12. flng::init_repository (::quartus::flng)
3.1.10.13. flng::list_properties (::quartus::flng)
3.1.10.14. flng::monitor_flow (::quartus::flng)
3.1.10.15. flng::run_flow (::quartus::flng)
3.1.10.16. flng::set_option (::quartus::flng)
3.1.10.17. flng::set_property (::quartus::flng)
3.1.11.1. execute_flow (::quartus::flow)
3.1.11.2. execute_module (::quartus::flow)
3.1.11.3. get_flow_templates (::quartus::flow)
3.1.11.4. write_flow_assignment_digest (::quartus::flow)
3.1.11.5. write_flow_finished (::quartus::flow)
3.1.11.6. write_flow_started (::quartus::flow)
3.1.11.7. write_flow_template (::quartus::flow)
3.1.13.1. begin_memory_edit (::quartus::insystem_memory_edit)
3.1.13.2. end_memory_edit (::quartus::insystem_memory_edit)
3.1.13.3. get_editable_mem_instances (::quartus::insystem_memory_edit)
3.1.13.4. read_content_from_memory (::quartus::insystem_memory_edit)
3.1.13.5. save_content_from_memory_to_file (::quartus::insystem_memory_edit)
3.1.13.6. update_content_to_memory_from_file (::quartus::insystem_memory_edit)
3.1.13.7. write_content_to_memory (::quartus::insystem_memory_edit)
3.1.14.1. end_insystem_source_probe (::quartus::insystem_source_probe)
3.1.14.2. get_insystem_source_probe_instance_info (::quartus::insystem_source_probe)
3.1.14.3. read_probe_data (::quartus::insystem_source_probe)
3.1.14.4. read_source_data (::quartus::insystem_source_probe)
3.1.14.5. start_insystem_source_probe (::quartus::insystem_source_probe)
3.1.14.6. write_source_data (::quartus::insystem_source_probe)
3.1.15.1. analyze_files (::quartus::interactive_synthesis)
3.1.15.2. check_rtl_connections (::quartus::interactive_synthesis)
3.1.15.3. dissolve_rtl_partition (::quartus::interactive_synthesis)
3.1.15.4. elaborate (::quartus::interactive_synthesis)
3.1.15.5. get_entities (::quartus::interactive_synthesis)
3.1.15.6. get_rtl_partition_name (::quartus::interactive_synthesis)
3.1.15.7. get_rtl_partitions (::quartus::interactive_synthesis)
3.1.15.8. link_rtl_design (::quartus::interactive_synthesis)
3.1.15.9. print_ipxact (::quartus::interactive_synthesis)
3.1.15.10. report_rtl_assignments (::quartus::interactive_synthesis)
3.1.15.11. report_rtl_parameters (::quartus::interactive_synthesis)
3.1.15.12. report_rtl_stats (::quartus::interactive_synthesis)
3.1.15.13. reset_rtl_design (::quartus::interactive_synthesis)
3.1.15.14. save_rtl_design (::quartus::interactive_synthesis)
3.1.15.15. synthesize (::quartus::interactive_synthesis)
3.1.15.16. uniquify (::quartus::interactive_synthesis)
3.1.15.17. write_rtl_report (::quartus::interactive_synthesis)
3.1.18.1. close_device (::quartus::jtag)
3.1.18.2. device_dr_shift (::quartus::jtag)
3.1.18.3. device_ir_shift (::quartus::jtag)
3.1.18.4. device_lock (::quartus::jtag)
3.1.18.5. device_run_test_idle (::quartus::jtag)
3.1.18.6. device_unlock (::quartus::jtag)
3.1.18.7. device_virtual_dr_shift (::quartus::jtag)
3.1.18.8. device_virtual_ir_shift (::quartus::jtag)
3.1.18.9. get_device_names (::quartus::jtag)
3.1.18.10. get_hardware_names (::quartus::jtag)
3.1.18.11. open_device (::quartus::jtag)
3.1.19.1. begin_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
3.1.19.2. change_bank_to_output_pin (::quartus::logic_analyzer_interface)
3.1.19.3. end_logic_analyzer_interface_control (::quartus::logic_analyzer_interface)
3.1.19.4. get_current_state_of_output_pin (::quartus::logic_analyzer_interface)
3.1.19.5. tristate_output_pin (::quartus::logic_analyzer_interface)
3.1.20.1. checksum (::quartus::misc)
3.1.20.2. disable_natural_bus_naming (::quartus::misc)
3.1.20.3. enable_natural_bus_naming (::quartus::misc)
3.1.20.4. escape_brackets (::quartus::misc)
3.1.20.5. foreach_in_collection (::quartus::misc)
3.1.20.6. get_collection_size (::quartus::misc)
3.1.20.7. get_environment_info (::quartus::misc)
3.1.20.8. get_message_count (::quartus::misc)
3.1.20.9. init_tk (::quartus::misc)
3.1.20.10. load (::quartus::misc)
3.1.20.11. load_package (::quartus::misc)
3.1.20.12. post_message (::quartus::misc)
3.1.20.13. qerror (::quartus::misc)
3.1.20.14. qexec (::quartus::misc)
3.1.20.15. qexit (::quartus::misc)
3.1.20.16. record_tcl_cmd (::quartus::misc)
3.1.20.17. stopwatch (::quartus::misc)
3.1.22.1. periph::check_plan (::quartus::periph)
3.1.22.2. periph::get_cell_info (::quartus::periph)
3.1.22.3. periph::get_cells (::quartus::periph)
3.1.22.4. periph::get_location_info (::quartus::periph)
3.1.22.5. periph::get_placement_info (::quartus::periph)
3.1.22.6. periph::get_placements (::quartus::periph)
3.1.22.7. blueprint::initialize (::quartus::periph)
3.1.22.8. periph::load_floorplan (::quartus::periph)
3.1.22.9. periph::place_cells (::quartus::periph)
3.1.22.10. periph::remove_invalid_reports (::quartus::periph)
3.1.22.11. periph::report_all (::quartus::periph)
3.1.22.12. periph::report_cell_connectivity (::quartus::periph)
3.1.22.13. periph::report_cell_placement_reasons (::quartus::periph)
3.1.22.14. periph::report_cells (::quartus::periph)
3.1.22.15. periph::report_clocks (::quartus::periph)
3.1.22.16. periph::report_legal_cell_locations (::quartus::periph)
3.1.22.17. periph::report_location_types (::quartus::periph)
3.1.22.18. periph::report_locations (::quartus::periph)
3.1.22.19. periph::report_regions (::quartus::periph)
3.1.22.20. periph::report_summary (::quartus::periph)
3.1.22.21. periph::reset_plan (::quartus::periph)
3.1.22.22. periph::save_floorplan (::quartus::periph)
3.1.22.23. periph::set_clock_type (::quartus::periph)
3.1.22.24. blueprint::shutdown (::quartus::periph)
3.1.22.25. periph::undo_last_placement (::quartus::periph)
3.1.22.26. periph::unplace_cells (::quartus::periph)
3.1.22.27. periph::update_pdpw (::quartus::periph)
3.1.22.28. periph::update_plan (::quartus::periph)
3.1.22.29. periph::write_plan (::quartus::periph)
3.1.24.1. assignment_group (::quartus::project)
3.1.24.2. create_base_clock (::quartus::project)
3.1.24.3. create_relative_clock (::quartus::project)
3.1.24.4. create_revision (::quartus::project)
3.1.24.5. delete_revision (::quartus::project)
3.1.24.6. execute_assignment_batch (::quartus::project)
3.1.24.7. export_assignments (::quartus::project)
3.1.24.8. get_all_assignment_names (::quartus::project)
3.1.24.9. get_all_assignments (::quartus::project)
3.1.24.10. get_all_global_assignments (::quartus::project)
3.1.24.11. get_all_instance_assignments (::quartus::project)
3.1.24.12. get_all_parameters (::quartus::project)
3.1.24.13. get_all_quartus_defaults (::quartus::project)
3.1.24.14. get_all_user_option_names (::quartus::project)
3.1.24.15. get_assignment_info (::quartus::project)
3.1.24.16. get_assignment_name_info (::quartus::project)
3.1.24.17. get_current_project (::quartus::project)
3.1.24.18. get_current_revision (::quartus::project)
3.1.24.19. get_database_version (::quartus::project)
3.1.24.20. get_global_assignment (::quartus::project)
3.1.24.21. get_instance_assignment (::quartus::project)
3.1.24.22. get_location_assignment (::quartus::project)
3.1.24.23. get_name_info (::quartus::project)
3.1.24.24. get_names (::quartus::project)
3.1.24.25. get_parameter (::quartus::project)
3.1.24.26. get_project_directory (::quartus::project)
3.1.24.27. get_project_revisions (::quartus::project)
3.1.24.28. get_project_settings (::quartus::project)
3.1.24.29. get_top_level_entity (::quartus::project)
3.1.24.30. get_user_option (::quartus::project)
3.1.24.31. is_database_version_compatible (::quartus::project)
3.1.24.32. is_fitter_in_qhd_mode (::quartus::project)
3.1.24.33. is_project_open (::quartus::project)
3.1.24.34. project_archive (::quartus::project)
3.1.24.35. project_clean (::quartus::project)
3.1.24.36. project_close (::quartus::project)
3.1.24.37. project_exists (::quartus::project)
3.1.24.38. project_new (::quartus::project)
3.1.24.39. project_open (::quartus::project)
3.1.24.40. project_restore (::quartus::project)
3.1.24.41. project_settings_exist (::quartus::project)
3.1.24.42. remove_all_global_assignments (::quartus::project)
3.1.24.43. remove_all_instance_assignments (::quartus::project)
3.1.24.44. remove_all_parameters (::quartus::project)
3.1.24.45. resolve_file_path (::quartus::project)
3.1.24.46. revision_exists (::quartus::project)
3.1.24.47. set_current_revision (::quartus::project)
3.1.24.48. set_global_assignment (::quartus::project)
3.1.24.49. set_high_effort_fmax_optimization_assignments (::quartus::project)
3.1.24.50. set_instance_assignment (::quartus::project)
3.1.24.51. set_io_assignment (::quartus::project)
3.1.24.52. set_location_assignment (::quartus::project)
3.1.24.53. set_multicycle_assignment (::quartus::project)
3.1.24.54. set_parameter (::quartus::project)
3.1.24.55. set_power_file_assignment (::quartus::project)
3.1.24.56. set_project_settings (::quartus::project)
3.1.24.57. set_timing_cut_assignment (::quartus::project)
3.1.24.58. set_user_option (::quartus::project)
3.1.24.59. test_assignment_trait (::quartus::project)
3.1.24.60. timegroup (::quartus::project)
3.1.25.1. qshm_connect_to_quartus (::quartus::qshm)
3.1.25.2. qshm_disconnect_from_quartus (::quartus::qshm)
3.1.25.3. qshm_dispose_client (::quartus::qshm)
3.1.25.4. qshm_get_hub_key_prefix (::quartus::qshm)
3.1.25.5. qshm_get_parent_hub_key (::quartus::qshm)
3.1.25.6. qshm_obtain_client (::quartus::qshm)
3.1.25.7. qshm_send_request (::quartus::qshm)
3.1.25.8. qshm_send_server_state_query (::quartus::qshm)
3.1.25.9. qshm_set_context (::quartus::qshm)
3.1.26.1. add_row_to_table (::quartus::report)
3.1.26.2. create_report_panel (::quartus::report)
3.1.26.3. delete_report_panel (::quartus::report)
3.1.26.4. get_fitter_resource_usage (::quartus::report)
3.1.26.5. get_number_of_columns (::quartus::report)
3.1.26.6. get_number_of_rows (::quartus::report)
3.1.26.7. get_report_panel_column_index (::quartus::report)
3.1.26.8. get_report_panel_data (::quartus::report)
3.1.26.9. get_report_panel_id (::quartus::report)
3.1.26.10. get_report_panel_names (::quartus::report)
3.1.26.11. get_report_panel_row (::quartus::report)
3.1.26.12. get_report_panel_row_index (::quartus::report)
3.1.26.13. load_report (::quartus::report)
3.1.26.14. read_xml_report (::quartus::report)
3.1.26.15. refresh_report_window (::quartus::report)
3.1.26.16. save_report_database (::quartus::report)
3.1.26.17. unload_report (::quartus::report)
3.1.26.18. write_report_panel (::quartus::report)
3.1.26.19. write_xml_report (::quartus::report)
3.1.27.1. all_clocks (::quartus::sdc)
3.1.27.2. all_inputs (::quartus::sdc)
3.1.27.3. all_outputs (::quartus::sdc)
3.1.27.4. all_registers (::quartus::sdc)
3.1.27.5. create_clock (::quartus::sdc)
3.1.27.6. create_generated_clock (::quartus::sdc)
3.1.27.7. derive_clocks (::quartus::sdc)
3.1.27.8. get_cells (::quartus::sdc)
3.1.27.9. get_clocks (::quartus::sdc)
3.1.27.10. get_nets (::quartus::sdc)
3.1.27.11. get_pins (::quartus::sdc)
3.1.27.12. get_ports (::quartus::sdc)
3.1.27.13. remove_clock_groups (::quartus::sdc)
3.1.27.14. remove_clock_latency (::quartus::sdc)
3.1.27.15. remove_clock_uncertainty (::quartus::sdc)
3.1.27.16. remove_disable_timing (::quartus::sdc)
3.1.27.17. remove_input_delay (::quartus::sdc)
3.1.27.18. remove_output_delay (::quartus::sdc)
3.1.27.19. reset_design (::quartus::sdc)
3.1.27.20. set_clock_groups (::quartus::sdc)
3.1.27.21. set_clock_latency (::quartus::sdc)
3.1.27.22. set_clock_uncertainty (::quartus::sdc)
3.1.27.23. set_disable_timing (::quartus::sdc)
3.1.27.24. set_false_path (::quartus::sdc)
3.1.27.25. set_input_delay (::quartus::sdc)
3.1.27.26. set_input_transition (::quartus::sdc)
3.1.27.27. set_max_delay (::quartus::sdc)
3.1.27.28. set_max_time_borrow (::quartus::sdc)
3.1.27.29. set_min_delay (::quartus::sdc)
3.1.27.30. set_multicycle_path (::quartus::sdc)
3.1.27.31. set_output_delay (::quartus::sdc)
3.1.28.1. derive_clock_uncertainty (::quartus::sdc_ext)
3.1.28.2. derive_pll_clocks (::quartus::sdc_ext)
3.1.28.3. disable_min_pulse_width (::quartus::sdc_ext)
3.1.28.4. get_active_clocks (::quartus::sdc_ext)
3.1.28.5. get_assignment_groups (::quartus::sdc_ext)
3.1.28.6. get_fanins (::quartus::sdc_ext)
3.1.28.7. get_fanouts (::quartus::sdc_ext)
3.1.28.8. get_keepers (::quartus::sdc_ext)
3.1.28.9. get_nodes (::quartus::sdc_ext)
3.1.28.10. get_partitions (::quartus::sdc_ext)
3.1.28.11. get_registers (::quartus::sdc_ext)
3.1.28.12. remove_annotated_delay (::quartus::sdc_ext)
3.1.28.13. remove_clock (::quartus::sdc_ext)
3.1.28.14. reset_timing_derate (::quartus::sdc_ext)
3.1.28.15. set_active_clocks (::quartus::sdc_ext)
3.1.28.16. set_annotated_delay (::quartus::sdc_ext)
3.1.28.17. set_data_delay (::quartus::sdc_ext)
3.1.28.18. set_max_skew (::quartus::sdc_ext)
3.1.28.19. set_net_delay (::quartus::sdc_ext)
3.1.28.20. set_scc_mode (::quartus::sdc_ext)
3.1.28.21. set_time_format (::quartus::sdc_ext)
3.1.28.22. set_timing_derate (::quartus::sdc_ext)
3.1.29.1. add_to_collection (::quartus::sta)
3.1.29.2. check_timing (::quartus::sta)
3.1.29.3. create_report_histogram (::quartus::sta)
3.1.29.4. create_slack_histogram (::quartus::sta)
3.1.29.5. create_timing_netlist (::quartus::sta)
3.1.29.6. create_timing_summary (::quartus::sta)
3.1.29.7. delete_sta_collection (::quartus::sta)
3.1.29.8. delete_timing_netlist (::quartus::sta)
3.1.29.9. enable_ccpp_removal (::quartus::sta)
3.1.29.10. enable_sdc_extension_collections (::quartus::sta)
3.1.29.11. get_available_operating_conditions (::quartus::sta)
3.1.29.12. get_cell_info (::quartus::sta)
3.1.29.13. get_clock_domain_info (::quartus::sta)
3.1.29.14. get_clock_fmax_info (::quartus::sta)
3.1.29.15. get_clock_info (::quartus::sta)
3.1.29.16. get_clock_pair_info (::quartus::sta)
3.1.29.17. get_datasheet (::quartus::sta)
3.1.29.18. get_default_sdc_file_names (::quartus::sta)
3.1.29.19. get_edge_info (::quartus::sta)
3.1.29.20. get_entity_instances (::quartus::sta)
3.1.29.21. get_min_pulse_width (::quartus::sta)
3.1.29.22. get_net_info (::quartus::sta)
3.1.29.23. get_node_info (::quartus::sta)
3.1.29.24. get_object_info (::quartus::sta)
3.1.29.25. get_operating_conditions (::quartus::sta)
3.1.29.26. get_operating_conditions_info (::quartus::sta)
3.1.29.27. get_partition_info (::quartus::sta)
3.1.29.28. get_path (::quartus::sta)
3.1.29.29. get_path_info (::quartus::sta)
3.1.29.30. get_pin_info (::quartus::sta)
3.1.29.31. get_point_info (::quartus::sta)
3.1.29.32. get_port_info (::quartus::sta)
3.1.29.33. get_register_info (::quartus::sta)
3.1.29.34. get_timing_paths (::quartus::sta)
3.1.29.35. import_sdc (::quartus::sta)
3.1.29.36. locate (::quartus::sta)
3.1.29.37. print_total_sdc_processing_time (::quartus::sta)
3.1.29.38. query_collection (::quartus::sta)
3.1.29.39. read_sdc (::quartus::sta)
3.1.29.40. register_delete_timing_netlist_callback (::quartus::sta)
3.1.29.41. remove_from_collection (::quartus::sta)
3.1.29.42. report_advanced_io_timing (::quartus::sta)
3.1.29.43. report_asynch_cdc (::quartus::sta)
3.1.29.44. report_bottleneck (::quartus::sta)
3.1.29.45. report_cdc_viewer (::quartus::sta)
3.1.29.46. report_clock_fmax_summary (::quartus::sta)
3.1.29.47. report_clock_network (::quartus::sta)
3.1.29.48. report_clock_transfers (::quartus::sta)
3.1.29.49. report_clocks (::quartus::sta)
3.1.29.50. report_datasheet (::quartus::sta)
3.1.29.51. report_ddr (::quartus::sta)
3.1.29.52. report_design_metrics (::quartus::sta)
3.1.29.53. report_exceptions (::quartus::sta)
3.1.29.54. report_ini_usage (::quartus::sta)
3.1.29.55. report_logic_depth (::quartus::sta)
3.1.29.56. report_max_clock_skew (::quartus::sta)
3.1.29.57. report_max_skew (::quartus::sta)
3.1.29.58. report_metastability (::quartus::sta)
3.1.29.59. report_min_pulse_width (::quartus::sta)
3.1.29.60. report_neighbor_paths (::quartus::sta)
3.1.29.61. report_net_delay (::quartus::sta)
3.1.29.62. report_net_timing (::quartus::sta)
3.1.29.63. report_partitions (::quartus::sta)
3.1.29.64. report_path (::quartus::sta)
3.1.29.65. report_pipelining_info (::quartus::sta)
3.1.29.66. report_register_spread (::quartus::sta)
3.1.29.67. report_reset_statistics (::quartus::sta)
3.1.29.68. report_retiming_restrictions (::quartus::sta)
3.1.29.69. report_route_net_of_interest (::quartus::sta)
3.1.29.70. report_rskm (::quartus::sta)
3.1.29.71. report_sdc (::quartus::sta)
3.1.29.72. report_skew (::quartus::sta)
3.1.29.73. report_tccs (::quartus::sta)
3.1.29.74. report_timing (::quartus::sta)
3.1.29.75. report_timing_by_source_files (::quartus::sta)
3.1.29.76. report_timing_tree (::quartus::sta)
3.1.29.77. report_ucp (::quartus::sta)
3.1.29.78. set_operating_conditions (::quartus::sta)
3.1.29.79. timing_netlist_exist (::quartus::sta)
3.1.29.80. update_timing_netlist (::quartus::sta)
3.1.29.81. use_timing_analyzer_style_escaping (::quartus::sta)
3.1.29.82. write_sdc (::quartus::sta)
Visible to Intel only — GUID: reference_TCL_tcl_pkg_flow_ver_1_1_cmd_execute_module
Ixiasoft
3.1.11.2. execute_module (::quartus::flow)
The following table displays information for the execute_module Tcl command:
Tcl Package and Version | Belongs to ::quartus::flow |
||
Syntax | execute_module [-h | -help] [-long_help] [-args <arguments> ] [-dont_export_assignments] [-tool <asm|cdb|drc|eda|fit|map|syn|pow|sta|stp|sim|si|cpf|ipg|pfg> ] | ||
Arguments | -h | -help | Short help | |
-long_help | Long help with examples and possible return values | ||
-args <arguments> | Option to specify arguments for the executable | ||
-dont_export_assignments | Option not to export assignments to file. By default, this command exports assignments before running command-line executables. | ||
-tool <asm|cdb|drc|eda|fit|map|syn|pow|sta|stp|sim|si|cpf|ipg|pfg> | Option to run the specified executable | ||
Description | |
||
Example Usage | |
||
Return Value | Code Name | Code | String Return |
TCL_OK | 0 | INFO: Operation successful | |
TCL_ERROR | 1 | ERROR: Can't run multiple flows simultaneously. Wait for current flow to complete. | |
TCL_ERROR | 1 | ERROR: Can't find active revision. Make sure there is an open, active revision name. Use the -revision option of project_open, project_new, or use set_current_revision. | |
TCL_ERROR | 1 | ERROR: No project is currently open. Open an existing project or create a new project. | |
TCL_ERROR | 1 | ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last. | |
TCL_ERROR | 1 | ERROR: Option is required: -tool. Specify the -tool option. |
Did you find the information on this page useful?
Characters remaining: