H-tile Hard IP for Ethernet Intel® Stratix® 10 FPGA IP Design Example User Guide

ID 683314
Date 1/27/2021
Public
Document Table of Contents

2.5. Hardware Design Example Components

The H-Tile Hard IP for Ethernet Intel FPGA hardware design example supports only the MAC + PCS and PCS Only variants.

Figure 10.  Intel® Stratix® 10 MX Development Kit Hardware Design Example High Level Block Diagram
The H-Tile Hard IP for Ethernet Intel FPGA hardware design example includes the following components:
  • H-Tile Hard IP for Ethernet Intel FPGA IP core.
  • Client logic that coordinates the programming of the IP core and packet generation.
  • One ATX PLL to generate the high speed serial clock to drive the device transceiver channels.
  • An I/O PLL to generate a 100 MHz clock from a 50 MHz input clock to the hardware design example.
  • JTAG controller that communicates with the System Console. You communicate with the client logic through the System Console.

The hardware design example uses run_test command to initiate packet transmission from packet generator to the IP core. The IP core receives the packets and transmit to the packet generator through the serial loopback. The client logic reads and print out the MAC statistic registers when the packet transmissions are complete.