SDI II Intel® FPGA IP User Guide

ID 683133
Date 10/05/2023
Public
Document Table of Contents

5.3.15. Extract Line

The HD-SDI and higher standards include the current video line number as part of the EAV extension words. The insert line submodule encodes the 11-bit line number in two of these extension words as defined in the SMPTE ST 292 specification.

This submodule decodes the data words and registers them when the Match TRS submodule indicates that the current words are LN0 and LN1 extension words.

Figure 22.  Line Number Extraction