Intel® High Level Synthesis Accelerator Functional Unit Design Example User Guide

ID 683025
Date 7/19/2019
Public
Document Table of Contents

2.3. Generating a Platform Designer Container for the HLS Component

Use Platform Designer to integrate the HLS component into an AFU with the predesigned hardware interfaces available in the Acceleration Stack, and verify that all sources are linked correctly.
  1. You might need to set the environment variable values required by the Acceleration Stack. To set the variables, run the following command:
    $ source /home/<username>/inteldevstack/init_env.sh
  2. Navigate to the qsys folder and open the system using Platform Designer.
    $ qsys-edit hls_afu_container.qsys

    You can use a .ipx file to point to your IP files. In the design example, the hls_afu_container.ipx file points to where the HLS compiler-generated RTL is expected to be.

    If you have other files in other locations that you need to include in your Platform Designer system, update the filelist.txt file with the paths to those files.

  3. In the Open System dialog box, select None for the Quartus project dropdown.
    Ensure the Device part is 10AX115N2F40E2LG, which matches the FPGA on the Intel PAC with Intel® Arria® 10 GX device.
    If you want to modify the Platform Designer system, associate it to a temporary Intel® Quartus® Prime Pro Edition project.
  4. Click Open.
    Figure 2.  Open System Dialog box
  5. To reload the system and ensure that all search paths are correct, click Validate System Integrity at the bottom of the Platform Designer window.
  6. After Validate System Integrity successfully completes, click Close.
  7. Exit Platform Designer.
  8. Save the Platform Designer system.
  9. Click Yes to generate the HDL. Ignore any warnings.