Intel® Quartus® Prime Standard Edition用户指南: 设计优化

ID 683230
日期 11/12/2018
Public
文档目录

6.1.2. 物理综合选项

Intel® Quartus® Prime软件提供物理综合优化选项来提高适配结果。 要访问这些选项,单击Assignments > Settings > Compiler Settings > Advanced Settings (Fitter)
注: 针对设计中特定组件禁用全局物理综合优化,请将特定节点或实体的Netlist Optimizations逻辑选项约束为Never Allow
表 22.  物理综合选项
选项 说明
Perform asynchronous signal pipelining (no Intel® Arria® 10 support) Automatically inserts pipeline stages for asynchronous clear and asynchronous load signals during fitting to increase circuit performance. This option is useful for asynchronous signals that are failing recovery and removal timing because they feed registers using a high-speed clock. You can use this option if asynchronous control signal recovery and removal times are not achieving requirements. This option adds registers and potential latency to nets driving the asynchronous clear or asynchronous load ports of registers. The additional register delays can change the behavior of the signal in the design; therefore, you should use this option only if additional latency on the reset signals does not violate any design requirements. This option also prevents the promotion of signals to global routing resources.
Perform Register Duplication for Performance (no Intel® Arria® 10 support) Duplicates registers based on Fitter placement information to reduce the delay of one path without degrading the delay of another. You can also duplicate combinational logic when you enable this option. The Fitter can place the new logic cell closer to critical logic without affecting the other fan-out paths of the original logic cell. This setting does not apply to logic cells that are part of a chain, drive global signals, are constrained to a single LAB, or the Netlist Optimizations option set to Never Allow.
Perform Register Retiming for Performance (no Arria® 10 support) Enables the movement of registers across combinational logic, allowing the Quartus Prime software to trade off the delay between timing-critical paths and non-critical paths.
Perform Physical synthesis for combinational logic for Performance (no Intel® Arria® 10 support) Performs physical synthesis optimizations on combinational logic during synthesis and fitting to increase circuit performance. Swaps the look-up table (LUT) ports within LEs so that the critical path has fewer layers through which to travel. Also allows the duplication of LUTs to enable further optimizations on the critical path.
Physical Synthesis for Combinational Logic for Fitting (no Intel® Arria® 10 support)

Reduces delay along critical paths. This option swaps the look-up table (LUT) ports within LEs so that the critical path has fewer layers through which to travel. The option also allows the duplication of LUTs to enable further optimizations on the critical path. The option causes registers that do not have a Power-Up Level logic option setting to power up with a don't care logic level (X). When the Power-Up Don't Care option is turned on, the Compiler determines when it is beneficial to change the power-up level of a register to minimize the area of the design. A power-up state of zero is maintained unless there is an immediate area advantage. The registers contained in the affected logic cells are not modified. Inputs into memory blocks, DSP blocks, and I/O elements (IOEs) are not swapped. This setting does not apply to logic cells that are part of a chain, drive global signals, are constrained to a single LAB, or the Netlist Optimizations option set to Never Allow.

Perform WYSIWYG Primitive Resynthesis Specifies whether to perform WYSIWYG primitive resynthesis during synthesis. This option uses the setting specified in the Optimization Technique logic option.
Physical Synthesis Effort Level (no Intel® Arria® 10 support) Specifies the amount of effort, in terms of compile time, physical synthesis should use. Compared to the Default setting, a setting of Extra uses extra compile time to try to gain extra circuit performance. Conversely, a setting of Fast uses less compile time but may reduce the performance gain that physical synthesis is able to achieve.
Netlist Optimizations(网表优化) 可使用Assignment Editor应用Netlist Optimizations逻辑选项。使用该选项禁用部分设计的物理综合优化。
Allow Register Duplication(允许寄存器复制)

允许Compiler复制寄存器以提高设计性能。使能该选项时,Compiler复制该寄存器并将其中一些扇出移动到对应的新节点。该优化可改善布通性,并减少具有多个扇出的网络中的布线线缆总数。

如果禁用该选项,则会禁用重定时寄存器的优化。

本设置会影响Analysis & Synthesis和Fitter。

Allow Register Duplication(允许寄存器合并)

允许Compiler删除与设计中其他寄存器相同的寄存器。使能该选项后,如果两个寄存器生成相同逻辑,Compiler删除一个寄存器并将寄存器扇出保留在被删除寄存器的目的地。该选项有助于防止Compiler删除特意使用的复制寄存器。

如果禁用寄存器合并,则Compiler禁用重定时寄存器的优化。

本设置会影响Analysis & Synthesis和Fitter。