Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 4/13/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.2.5. Viewing Simulation Waveforms

Questa* Intel® FPGA Edition, ModelSim, and QuestaSim simulators automatically generate a Wave Log Format File (.wlf) following simulation. You can use the .wlf to generate a waveform view.

To view a waveform from a .wlf through Questa* Intel® FPGA Edition, ModelSim, or QuestaSim, perform the following steps:

  1. Type vsim at the command line. The ModelSim, QuestaSim, or Questa* Intel® FPGA Edition dialog box appears.
  2. Click File > Datasets. The Datasets Browser dialog box appears.
  3. Click Open and select your .wlf.
  4. Click Done.
  5. In the Object browser, select the signals that you want to observe.
  6. Click Add > Wave, and then click Selected Signals.
    You must first convert the .vcd to a .wlf before you can view a waveform in Questa* Intel® FPGA Edition, ModelSim, or QuestaSim.
  7. To convert the .vcd to a .wlf, type the following at the command-line:
    vcd2wlf <example>.vcd <example>.wlf
  8. After conversion, view the .wlf waveform in Questa* Intel® FPGA Edition, ModelSim or QuestaSim.