Intel® Quartus® Prime Pro Edition User Guide: Platform Designer

ID 683609
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

9.2.3. SystemVerilog Interface Properties

Name Description
SV_INTERFACE_TYPE Set the interface type of the SystemVerilog interface.
USE_ALL_PORTS

When USE_ALL_PORTS is set to true, all the ports defined in the Module, are declared in this SystemVerilog interface.

USE_ALL_PORTS must be set to true only if the module has one SystemVerilog interface and the SystemVerilog interface signal names match with the port names declared for Platform Designer interface.

When USE_ALL_PORTS is true, SV_INTERFACE_PORT or SV_INTERFACE_SIGNAL port properties should not be set.