Intel® Quartus® Prime Pro Edition User Guide: Platform Designer

ID 683609
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.4.1. Adding Generic HDL Component Parameters

You can specify and modify HDL parameters for generic components that have an HDL implementation. This technique allows you to reuse the HDL component in another context with different parameter values. Platform Designer analyzes the HDL implementation, identifies the HDL parameters present, and loads the HDL parameters into the Component Instantiation editor where you can modify them. To add parameters in HDL mode, follow these steps:

Figure 123. HDL Implementation Type in Component Instantiation Editor
  1. Add a generic HDL component, as Creating Generic Components in a System describes.
  2. In the Component Instantiation editor Files tab, click the Add File button to select the HDL file that contains the component definition.
  3. Under Implementation Files, select the HDL file, and then click Analyze HDL files. The signals and interfaces found in the HDL component appear in the Signals & Interfaces tab. The parameters found in the HDL component appear in the Parameters tab.
  4. On the Parameters tab, modify the parameter Name, Value, Type, Bit Width, and whether Editable.
    Figure 124.  Parameters Tab for HDL Instantiation
  5. View the interfaces and signals, as Adding Generic Component Interfaces and Signals describes.
  6. When you are done adding parameters and interfaces, click Finish in the Component Instantiation editor. The component appears in the System View tab.
Note: You must treat a generic component with an Implementation Type of HDL as custom RTL, specific to your current system. When you set a generic component's Implementation Type to HDL, the output of any RTL that you add to the component is within the system's output directory.