Nios II Classic Software Developer’s Handbook

ID 683282
Date 5/14/2015
Public
Document Table of Contents

2.12.2. Using ModelSim with a Qsys-Generated System

To run a Qsys-generated Nios II system with ModelSim, you must first create a simulation model and test bench, and specify memory initialization files. You create your Nios II simulation model and test bench using the steps that apply to any Qsys design.

For more information, refer to the Quartus Prime Standard Edition Handbook Volume 1: Design and Synthesis Handbook.