Introduction to Intel® FPGA IP Cores

ID 683102
Date 4/03/2023
Public
Document Table of Contents

1.9.4.1.6. Sourcing Synopsys VCS* MX Simulator Setup Scripts

Follow these steps to incorporate the generated Synopsys VCS* MX simulation scripts for use in top-level project simulation scripts.
  1. The generated simulation script contains these template lines. Cut and paste the lines preceding the “helper file” into a new executable file. For example, vcsmx.sh.
    # # Start of template
    # # If the copied and modified template file is "vcsmx_sim.sh", run
    # # it as: ./vcsmx_sim.sh
    # # 
    # # Do the file copy, dev_com and com steps
    # source vcsmx_setup.sh
    # SKIP_ELAB=1
          
    # SKIP_SIM=1
    # 
    # # Compile the top level module 
    # vlogan +v2k
         +systemverilogext+.sv "$QSYS_SIMDIR/../top.sv"
      
    # # Do the elaboration and sim steps
    # # Override the top-level name
    # # Override the sim options, so the simulation runs
    # # forever (until $finish()).
    # source vcsmx_setup.sh
    # SKIP_FILE_COPY=1
    # SKIP_DEV_COM=1
    # SKIP_COM=1
    # TOP_LEVEL_NAME="'-top top'"
    # USER_DEFINED_SIM_OPTIONS=""
    # # End of template
  2. Delete the first two characters of each line (comment and space), as shown below:
    # Start of template
    # If the copied and modified template file is "vcsmx_sim.sh", run
    # it as: ./vcsmx_sim.sh
    # 
    # Do the file copy, dev_com and com steps
    source vcsmx_setup.sh
    SKIP_ELAB=1
    SKIP_SIM=1
      
    # Compile the top level module
    vlogan +v2k +systemverilogext+.sv "$QSYS_SIMDIR/../top.sv"
       
    # Do the elaboration and sim steps
    # Override the top-level name
    # Override the sim options, so the simulation runs
    # forever (until $finish()).
    source vcsmx_setup.sh
    SKIP_FILE_COPY=1
    SKIP_DEV_COM=1
    SKIP_COM=1
    TOP_LEVEL_NAME="'-top top'"
    USER_DEFINED_SIM_OPTIONS=""
    # End of template
  3. Modify the TOP_LEVEL_NAME and compilation step appropriately, depending on the simulation’s top-level file. For example:
    TOP_LEVEL_NAME=”'-top sim_top'” 
  4. Make the appropriate changes to the compilation of your top-level file, for example:
    vlogan +v2k +systemverilogext+.sv "$QSYS_SIMDIR/../sim_top.sv"
  5. If necessary, add the QSYS_SIMDIR variable to point to the location of the generated IP simulation files. Specify any other changes required to match your design simulation requirements. The scripts offer variables to set compilation or simulation options. Refer to the generated script for details.
  6. Run the resulting top-level script from the generated simulation directory by specifying the path to vcsmx_sim.sh.