Intel® Quartus® Prime Standard Edition用户指南: 调试工具

ID 683552
日期 9/24/2018
Public
文档目录

5.5. 编译设计

要将Signal Tap逻辑加入到您的设计中并且使能JTAG连接,则必须对工程进行编译。 将一个.stp文件添加到您的工程中时,Signal Tap Logic Analyzer成为设计的一部分。使用传统的外部逻辑分析器调试设计时,通常必须更改要监控的信号以及触发条件。
注: 由于这些调整要求在使用Signal Tap Logic Analyzer的时候重新编译设计,因此要使用Signal Tap Logic Analyzer功能以及 Intel® Quartus® Prime软件中的增量编译来减少重新编译时间。