Intel® Quartus® Prime Standard Edition用户指南: 调试工具

ID 683552
日期 9/24/2018
Public
文档目录

5.12.1. Signal Tap命令行选项

通过quartus_stp可执行文件,可以使用以下选项:

表 67.  quartus_stp命令行选项
选项 使用 描述
--stp_file <stp_filename> 必需 指定.stp文件的名称。
--enable 可选

在工程的.qsf文件中将ENABLE_SIGNALTAP选项设置成ON,因此Signal Tap Logic Analyzer在下一次编译中运行。

如果忽略此选项,那么 Intel® Quartus® Prime软件使用.qsf文件中ENABLE_SIGNALTAP的当前值。

将后续Signal Tap assignments写入到.qsf文件中的.stp。如果.qsf文件未指定.stp文件,那么必须使用--stp_file选项。

--disable 可选

在工程的.qsf文件中,将ENABLE_SIGNALTAP选项设置成OFF,因此Signal Tap Logic Analyzer在下一次编译中不会运行。

如果忽略--disable选项,那么 Intel® Quartus® Prime软件使用.qsf文件中ENABLE_SIGNALTAP的当前值。
--create_signaltap_hdl_file 可选 创建一个代表Signal Tap实例的.stp文件。您必须使用--stp_file选项来创建一个.stp

等同于 Intel® Quartus® Prime软件中的Create Signal Tap File from Design Instances命令

实例

第一个示例显示了如何在命令行使用Signal Tap Logic Analyzer编译一个设计。

quartus_stp filtref --stp_file stp1.stp --enable
quartus_map filtref --source=filtref.bdf --family=CYCLONE
quartus_fit filtref --part=EP1C12Q240C6 --fmax=80MHz --tsu=8ns
quartus_asm filtref

quartus_stp --stp_file stp1.stp --enable命令创建QSF变量并指示 Intel® Quartus® Prime软件编译stp1.stp文件。--enable选项必须应用于Signal Tap Logic Analyzer来编译到您的设计中。

下面示例在使用IP Catalog构建Signal Tap Logic Analyzer实例后创建一个新的.stp

quartus_stp filtref --create_signaltap_hdl_file --stp_file stp1.stp