Nios® V Processor Software Developer Handbook

ID 743810
Date 5/26/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

13.6. Nios® V Processor Design Example

You can download a Nios® V processor design example from the Intel® FPGA Design Store. The design examples provide scripts that allow you to automate the design example generation, and build both a BSP project and a simple application project. The design examples are preset to an Intel FPGA device. If you are targeting a different Intel® FPGA device, migrate the design example project to the specific device.
Note: If you are using Intel® Quartus® Prime Standard Edition software, you can acquire the design examples through the Nios® V Processor IP. To generate the example design, refer to the topic Intel Quartus® Prime Software Support in Nios® V Embedded Processor Design Handbook.
Follow these steps to acquire the Nios® V processor example design:
  1. Search for the Nios® V processor-related example designs in the Intel® FPGA Design Store.
  2. Select any example design.
  3. Download the package according to the Intel® Quartus® Prime software version of your host machine.
  4. Proceed to open the project PAR file.
  5. Refer to the readme.txt for how-to guide.
The following are the basic device migration steps:
  1. New device assignment
    1. Go to Assignments > Device...
    2. Select the appropriate Device family and Name.
      Note: If SmartVID feature is supported, configure the correct SmartVID settings.
  2. New pin assignment
    1. Go to Assignments > Assignments Editor
    2. Reassign the appropriate pin assignment to the board.
  3. Recompile the design example.