Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 2/05/2024
Public
Document Table of Contents

2.2.4. Viewing Simulation Waveforms

QuestaSim* automatically generates a Wave Log Format File (.wlf) following simulation. You can use the .wlf to generate a waveform view.

To view a waveform from a .wlf through QuestaSim*, perform the following steps:

  1. Type vsim at the command line. The QuestaSim dialog box appears.
  2. Click File > Datasets. The Datasets Browser dialog box appears.
  3. Click Open and select your .wlf.
  4. Click Done.
  5. In the Object browser, select the signals that you want to observe.
  6. Click Add > Wave, and then click Selected Signals.
    You must first convert the .vcd to a .wlf before you can view a waveform in QuestaSim*.
  7. To convert the .vcd to a .wlf, type the following at the command-line:
    vcd2wlf <example>.vcd <example>.wlf
  8. After conversion, view the .wlf waveform in QuestaSim*.