Intel® Quartus® Prime Pro Edition用户指南: 调试工具

ID 683819
日期 9/30/2019
Public
文档目录

2.1. Signal Tap逻辑分析仪

Signal Tap逻辑分析器捕获并显示PFGA设计中的实时信号行为,从而无需其他I/O管脚或外部实验室设备即可检查常器件常规运行期间内部信号的行为。

为了简化调试过程,您可以将采集的数据保存在器件存储器中,以供以后分析。您还可以通过定义自定义触发条件逻辑来过滤与调试无关的数据。Signal Tap logic analyzer支持可编程逻辑市场上任何逻辑分析仪的最多通道数,最大采样深度和最快时钟速度。

图 14.  Signal Tap logic analyzer结构图

Signal Tap logic analyzer可作为独立软件包提供,也可通过软件订购提供。

注: Intel® Quartus® Prime Pro Edition软件使用一个新的方法进行设置和分配。例如,Signal Tap assignment仅包含instance名称,不包含entity:instance名称。请参考Migrating to Intel® Quartus® Prime Pro Edition 来了解关于移植现有Signal Tap文件(.stp)到 Intel® Quartus® Prime Pro Edition的详细信息。