Intel® Quartus® Prime Pro Edition用户指南: 调试工具

ID 683819
日期 9/30/2019
Public
文档目录

2.14.1. Signal Tap命令行选项

通过quartus_stp可执行文件,可以使用以下选项:

表 16.  quartus_stp命令行选项
选项 使用 说明
--stp_file <stp_filename> 必需 指定.stp文件的名称。
--enable 可选

在工程的.qsf文件中将ENABLE_SIGNALTAP选项设置成ON,因此Signal Tap logic analyzer在下一次编译中运行。

如果忽略此选项,那么 Intel® Quartus® Prime软件使用.qsf文件中ENABLE_SIGNALTAP的当前值。

将后续Signal Tap assignments写入到.qsf文件中的.stp。如果.qsf文件未指定.stp文件,那么必须使用--stp_file选项。

--disable 可选

在工程的.qsf文件中,将ENABLE_SIGNALTAP选项设置成OFF,因此Signal Tap logic analyzer在下一次编译中不会运行。

如果忽略--disable选项,那么 Intel® Quartus® Prime软件使用.qsf文件中ENABLE_SIGNALTAP的当前值。