F-Tile HDMI Intel® FPGA IP Design Example User Guide

ID 709314
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.3. Simulating the Design

The HDMI testbench simulates a serial loopback design from a TX instance to an RX instance. Internal video pattern generator, audio sample generator, sideband data generator, and auxiliary data generator modules drive the HDMI TX instance and the serial output from the TX instance connects to the RX instance in the testbench.
Note: HDMI simulation is not supported when "Include I2C Master/Slave" option is enabled in the IP tab.
Figure 4. Design Simulation Flow
  1. Go to the desired simulation folder.
  2. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator.
  3. Analyze the results.
    Table 5.  Steps to Run Simulation
    Simulator Working Directory Instructions
    Riviera-PRO* /simulation/aldec
    In the command line, type
    vsim -c -do aldec.do
    ModelSim* /simulation/mentor
    In the command line, type
    vsim -c -do mentor.do
    VCS* /simulation/synopsys/vcs
    In the command line, type
    source vcs_sim.sh
    VCS* MX /simulation/synopsys/vcsmx
    In the command line, type
    source vcsmx_sim.sh
    Xcelium* Parallel /simulation/xcelium In the command line, type
    source xcelium_sim.sh
    A successful simulation ends with the following message:
    # SYMBOLS_PER_CLOCK 	= 2
    # VIC               	= 4
    # BPP               	= 0
    # AUDIO_FREQUENCY (kHz)  = 48
    # AUDIO_CHANNEL     	= 8
    # Simulation pass