AN 903: Accelerating Timing Closure: in Intel® Quartus® Prime Pro Edition

ID 683664
Date 2/25/2021
Public

1.3.2.1. Design Partition Settings

Table 4.  Design Partition Settings
Option Description
Partition Name Specifies the partition name. Each partition name must be unique and consist of only alphanumeric characters. The Intel® Quartus® Prime software automatically creates a top-level (|) "root_partition" for each project revision.
Hierarchy Path Specifies the hierarchy path of the entity instance that you assign to the partition. You specify this value in the Create New Partition dialog box. The root partition hierarchy path is |.
Type Double-click to specify one of the following partition types that control how the Compiler processes and implements the partition:
  • Default—Identifies a standard partition. The Compiler processes the partition using the associated design source files.
  • Reconfigurable—Identifies a reconfigurable partition in a partial reconfiguration flow. Specify the Reconfigurable type to preserve synthesis results, while allowing refit of the partition in the PR flow.
  • Reserved Core—Identifies a partition in a block-based design flow that is reserved for core development by a Consumer reusing the device periphery.
Preservation Level Specifies one of the following preservation levels for the partition:
  • Not Set—specifies no preservation level. The partition compiles from source files.
  • synthesized—the partition compiles using the synthesized snapshot.
  • final—the partition compiles using the final snapshot.

With Preservation Level of synthesized or final, changes to the source code do not appear in the synthesis.

Empty Specifies an empty partition that the Compiler skips. This setting is incompatible with the Reserved Core and Partition Database File settings for the same partition. The Preservation Level must be Not Set. An empty partition cannot have any child partitions.
Partition Database File Specifies a Partition Database File (.qdb) that the Compiler uses during compilation of the partition. You export the .qdb for the stage of compilation that you want to reuse (synthesized or final). Assign the .qdb to a partition to reuse those results in another context.
Entity Re-binding
  • PR Flow—specifies the entity that replaces the default persona in each implementation revision.
  • Root Partition Reuse Flow —specifies the entity that replaces the reserved core logic in the consumer project.
Color Specifies the color-coding of the partition in the Chip Planner and Design Partition Planner displays.
Post Synthesis Export File Automatically exports post-synthesis compilation results for the partition to the .qdb that you specify, each time Analysis & Synthesis runs. You can automatically export any design partition that does not have a preserved parent partition, including the root_partition.
Post Final Export File Automatically exports post-final compilation results for the partition to the .qdb that you specify, each time the final stage of the Fitter runs. You can automatically export any design partition that does not have a preserved parent partition, including the root_partition.