Multi Channel DMA Intel® FPGA IP for PCI Express Design Example User Guide

ID 683517
Date 4/17/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.3.5.1. Steps to Run the Simulation : QuestaSim* / Questa* Intel® FPGA Edition

Simulation Directory

<example_design>/pcie_ed_tb/ pcie_ed _tb/sim/mentor/

Instructions

  1. Invoke vsim (by typing vsim, which brings up a console window where you can run the following commands).
  2. do msim_setup.tcl
    Note: Alternatively, instead of doing Steps 1 and 2, you can type: vsim -c -do msim_setup.tcl
  3. ld_debug
  4. run -all
  5. A successful simulation ends with the following message: "Simulation stopped due to successful completion!"