50G Ethernet Design Example User Guide

ID 683350
Date 4/03/2019
Public

1.4. Generating the Design Example

Figure 5. Procedure
Figure 6. Example Design Tab in the 50GbE Parameter Editor

Follow these steps to generate the hardware design example and testbench:

  1. Depending on whether you are using the Intel® Quartus® Prime Pro Edition software or the Intel® Quartus® Prime Standard Edition software, perform one of the following actions:
    • In the Intel® Quartus® Prime Pro Edition, click File > New Project Wizard to create a new Quartus Prime project, or File > Open Project to open an existing Quartus Prime project. The wizard prompts you to specify a device.
    • In the Intel® Quartus® Prime Standard Edition software, in the IP Catalog (Tools > IP Catalog), select the Arria 10 target device family.
  2. In the IP Catalog, locate and select 50G Ethernet . The New IP Variation window appears.
  3. Specify a top-level name for your IP variation and click OK. The parameter editor adds the top-level .qsys (in Intel® Quartus® Prime Standard Edition) or .ip (in Intel® Quartus® Prime Pro Edition) file to the current project automatically. If you are prompted to manually add the .qsys or .ip file to the project, click Project > Add/Remove Files in Project to add the file.
  4. In the Intel® Quartus® Prime Standard Edition software, you must select a specific Arria 10 device in the Device field, or keep the default device the Quartus Prime software proposes.
    Note: The hardware design example overwrites the selection with the device on the target board. You specify the target board from the menu of design example options in the Example Design tab (Step 8).
  5. Click OK. The parameter editor appears.
  6. On the IP tab, specify the parameters for your IP core variation.
  7. On the Example Design tab, for Example Design Files, select the Simulation option to generate the testbench, and select the Synthesis option to generate the hardware design example. Only Verilog HDL files are generated.
    Note: A functional VHDL IP core is not available. Specify Verilog HDL only, for your IP core design example.
  8. For Hardware Board select the Arria 10 GX Transceiver Signal Integrity Development Kit.
    Note: Contact your Intel FPGA representative for information about a platform suitable to run this hardware example.
  9. Click the Generate Example Design button. The Select Example Design Directory window appears.
  10. If you wish to modify the design example directory path or name from the defaults displayed ( alt_e50_0_example_design), browse to the new path and type the new design example directory name (<design_example_dir>).
  11. Click OK.
  12. Refer to the KDB Answer How do I compensate for the jitter of PLL cascading or non-dedicated clock path for Arria 10 PLL reference clock? for a workaround you should apply in the hardware_test_design directory in the .sdc file.
    Note: You must consult this KDB Answer because the RX path in the 50GbE IP core includes cascaded PLLs. Therefore, the IP core clocks might experience additional jitter in Arria 10 devices. This KDB Answer clarifies the software releases in which the workaround is necessary.