ModelSim* - Intel® FPGA Edition Simulation Quick-Start: Intel® Quartus® Prime Standard Edition

ID 683248
Date 12/30/2019
Public

1.3. Launch Simulation from the Intel® Quartus® Prime Software

To generate and run the ModelSim*- Intel® FPGA Edition automation script from within the Intel® Quartus® Prime software, follow these steps:
  1. To compile the design and generate the .do file, click Processing > Start Compilation. The Messages window indicates when compilation is complete.
  2. Click Tools > Run Simulation Tool > RTL Simulation. The Intel® Quartus® Prime software launches the ModelSim* - Intel® FPGA Edition simulator and simulates the testbench_1.v file, according to your specifications in the Simulation settings. The ModelSim* - Intel® FPGA Edition GUI organizes the elements of your simulation in separate windows. The right side of the GUI displays the testbench_1.v file that defines the simulation.
    Figure 4.  ModelSim* - Intel® FPGA Edition GUI