Triple-Speed Ethernet IP Design Example User Guide: Agilex™ 3 and Agilex™ 5 FPGAs and SoCs
ID
813899
Date
8/04/2025
Public
A newer version of this document is available. Customers should click here to go to the newest version.
1. 10/100/1000 Ethernet MAC Design Example with 1000BASE-X/SGMII 2XTBI PCS with GTS Transceiver
2. 10/100/1000 Multiport Ethernet MAC Design Example with 1000BASE-X/SGMII PCS and Embedded PMA (LVDS)
3. 10/100/1000 Ethernet MAC without Internal FIFO Buffers with 1000BASE-X/SGMII 2XTBI PCS and Embedded PMA Signals (GTS) with IEEE 1588v2
4. 10/100/1000 Ethernet MAC without Internal FIFO Buffers with 1000BASE-X/SGMII TBI PCS and Embedded PMA Signals (LVDS I/O) with IEEE 1588v2
5. Triple-Speed Ethernet IP Design Example User Guide: Agilex™ 3 and Agilex™ 5 FPGAs and SoCs Archives
6. Document Revision History for the Triple-Speed Ethernet IP Design Example User Guide: Agilex™ 3 and Agilex™ 5 FPGAs and SoCs
1.1.3. Simulating the Design Example Testbench
Figure 5. Procedure to Simulate Design Example Testbench
Follow these steps to simulate the testbench:
- Navigate to the sim directory:
cd intel_eth_tse_0_example_design/ex_tse/sim/
- Run the IP setup simulation:
ip-setup-simulation --quartus-project=../../compilation_test_design/intel_eth_tse.qpf
- Navigate to the testbench simulation directory:
cd intel_eth_tse_0_example_design/example_testbench/
- Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator. Refer to the table Steps to Simulate the Testbench.
Table 4. Steps to Simulate the Testbench Simulator Instructions QuestaSim* In the command line, type vsim -do run_vsim_2xtbi_pma.do. If you prefer to simulate without bringing up the QuestaSim* GUI, type vsim -c -do run_vsim_2xtbi_pma.do. Synopsys* VCS* MX In the command line, type sh run_vcsmx_2xtbi_pma.sh. Xcelium* In the command line, type sh run_xcelium_2xtbi_pma.sh. Riviera-PRO* In the command line, type vsim -c -do run_rivierapro_2xtbi_pma.do. - Analyze the results. The successful testbench sends five packets, receives the same number of packets, and displays the following message:
End of Simulation - Break