F-Tile JESD204B Intel® FPGA IP User Guide

ID 723907
Date 4/23/2024
Public
Document Table of Contents

4.5.3. FPGA–DAC Subsystem Reset Sequence

Figure 19. FPGA–DAC Subsystem Reset Sequence Timing Diagram

The recommended FPGA – DAC subsystem bring-up sequence:

  1. The User Logic asserts IP and configuration reset to the SIP TX, jesd204_tx_rst_n = 0, jesd204_tx_avs_rst_n= 0 and reconfig_xcvr_reset = 1. jesd204_tx_out_of_reset is an output status to inform USER the IP MAC’s current reset status. jesd204_tx_out_of_reset = 0 indicates the MAC is under reset.
    Note: If User asserts jesd204_tx_avs_rst_n/reconfig_xcvr_reset, jesd204_tx_rst_n is required to be asserted as well. User could opt to assert jesd204_tx_rst_n without jesd204_tx_avs_rst_n/reconfig_xcvr_reset.
  2. Wait for Core PLL to lock. After which the User Logic deassert jesd204_tx_avs_rst_n/reconfig_xcvr_reset and perform configurations of the tile and Soft IP.
  3. After all relevant tile channels are fully in RESET, the IP asserts jesd204_tx_rst_ack_n to the USER LOGIC. Knowing the relevant channels are in proper reset states, the USER LOGIC can release the reset to the IP’s tile when it is ready to do so (jesd204_tx_rst_n = 1). User could use jesd204_tx_rst_ack_n for this purpose (to know when to deassert jesd204_tx_rst_n =1)
  4. The User Logic deassert the reset, jesd204_tx_rst_n = 1.
  5. Asserts jesd204_tx_out_of_reset = 1 to user. You must synchronize jesd204_tx_out_of_reset to rxlink_clk or rxframe_clk domain before use. TX SIP is operational.
  6. For Subclass 1, if the continuous SYSREF pulses from the clock generator are present when the TX link reset is deasserted, the TX link initializes. If the SYSREF pulse is not present, trigger the clock generator to provide a SYSREF pulse to initialize the link after jesd204_tx_out_of_reset = 1.
  7. When IP is ready to receive data from application, assert jesd204_tx_frame_ready = 1 and jesd204_tx_link_ready = 1.
  8. Anytime user requires a reset to MAC+PHY, wait for jesd204_tx_rst_ack_n=1. Assertion of jesd204_tx_rst_n=0 resets all logics in the IP.
  9. The IP asserts jesd204_tx_rst_ack_n=0 and jesd204_tx_out_of_reset = 0 to indicate to USER that reset sequence is completed.