F-Tile JESD204B Intel® FPGA IP User Guide

ID 723907
Date 4/23/2024
Public
Document Table of Contents

4.5.2. ADC–FPGA Subsystem Reset Sequence

Figure 18. ADC–FPGA Subsystem Reset Sequence Timing Diagram

The recommended ADC – FPGA subsystem bring-up sequence:

  1. The User Logic asserts IP and configuration reset to the SIP RX, jesd204_rx_rst_n = 0, jesd204_rx_avs_rst_n = 0 and reconfig_xcvr_reset = 1. jesd204_rx_out_of_reset is an output status to inform USER the IP MAC’s current reset status. jesd204_rx_out_of_reset = 0 indicates the MAC is under reset.
    Note: If User asserts reconfig_xcvr_reset/jesd204_rx_avs_rst_n, jesd204_rx_rst_n is required to be asserted as well. User could opt to assert jesd204_rx_rst_n without reconfig_xcvr_reset/jesd204_rx_avs_rst_n.
  2. Wait for Core PLL to lock. After which the User Logic deasserts reconfig_xcvr_reset/jesd204_rx_avs_rst_n and perform configurations of the tile and Soft IP.
  3. After all relevant tile channels are fully in RESET, the IP asserts jesd204_rx_rst_ack_n to the USER LOGIC. Knowing the relevant channels are in proper reset states, the USER LOGIC can release the reset to the SIP when it is ready to do so (jesd204_rx_rst_n = 1). User could use jesd204_rx_rst_ack_n for this purpose (to know when to deassert jesd204_rx_rst_n=1).
  4. The User Logic deassert the SIP reset, jesd204_rx_rst_n = 1.
  5. The IP informs the USER that the SIP MAC is released from reset by asserting jesd204_rx_out_of_reset = 1. You must synchronize jesd204_rx_out_of_reset to rxlink_clk or rxframe_clk domain before use.
  6. Based on the jesd204_rx_out_of_reset status, for Subclass 1, if the continuous SYSREF pulses from the clock generator are present when the RX link reset is deasserted, the RX link initializes. If the SYSREF pulse is not present, trigger the clock generator to provide a SYSREF pulse to initialize the link.
  7. Assert jesd204_rx_link_valid = 1 to user when lane alignment is achieved and deskew is completed. RX SIP is fully operational.
  8. Anytime user requires a reset to MAC+PHY, it waits for jesd204_rx_rst_ack_n=1. Assertion of jesd204_rx_rst_n=0 resets all logics in the IP.
  9. The IP asserts jesd204_rx_rst_ack_n =0 and jesd204_rx_out_of_reset = 0 to indicate to user that reset sequence is completed.