Visible to Intel only — GUID: mwh1410383460363
Ixiasoft
1. Answers to Top FAQs
2. Intel FPGA Simulation Basics
3. Siemens EDA QuestaSim* Simulator Support
4. Synopsys VCS* and VCS MX Support
5. Aldec Active-HDL and Riviera-PRO Support
6. Cadence Xcelium* Parallel Simulator Support
7. Intel® Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Intel® Quartus® Prime Pro Edition User Guides
Visible to Intel only — GUID: mwh1410383460363
Ixiasoft
3.2.4. Viewing Simulation Waveforms
QuestaSim* automatically generates a Wave Log Format File (.wlf) following simulation. You can use the .wlf to generate a waveform view.
To view a waveform from a .wlf through QuestaSim*, perform the following steps:
- Type vsim at the command line. The QuestaSim dialog box appears.
- Click File > Datasets. The Datasets Browser dialog box appears.
- Click Open and select your .wlf.
- Click Done.
- In the Object browser, select the signals that you want to observe.
- Click Add > Wave, and then click Selected Signals.
You must first convert the .vcd to a .wlf before you can view a waveform in QuestaSim*.
- To convert the .vcd to a .wlf, type the following at the command-line:
vcd2wlf <example>.vcd <example>.wlf
- After conversion, view the .wlf waveform in QuestaSim*.