Visible to Intel only — GUID: mwh1409960617625
Ixiasoft
1. Answers to Top FAQs
2. Intel FPGA Simulation Basics
3. Siemens EDA QuestaSim* Simulator Support
4. Synopsys VCS* and VCS MX Support
5. Aldec Active-HDL and Riviera-PRO Support
6. Cadence Xcelium* Parallel Simulator Support
7. Intel® Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Intel® Quartus® Prime Pro Edition User Guides
Visible to Intel only — GUID: mwh1409960617625
Ixiasoft
2.5. Supported Hardware Description Languages
The Intel® Quartus® Prime software provides the following hardware description language (HDL) support for EDA simulators.
Language | Support Description |
---|---|
VHDL |
|
Verilog /SystemVerilog |
|
Mixed HDL |
|
Schematic |
|