Visible to Intel only — GUID: fxc1661818480242
Ixiasoft
1. Answers to Top FAQs
2. Intel FPGA Simulation Basics
3. Siemens EDA QuestaSim* Simulator Support
4. Synopsys VCS* and VCS MX Support
5. Aldec Active-HDL and Riviera-PRO Support
6. Cadence Xcelium* Parallel Simulator Support
7. Intel® Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Intel® Quartus® Prime Pro Edition User Guides
Visible to Intel only — GUID: fxc1661818480242
Ixiasoft
2.3.2.3. Compilation Command Line Options
Some of the optional command-line arguments for the compilation command (not including HDL file names and library names) include:
- The type of file for compilation (Verilog HDL, SystemVerilog, or VHDL).
- The values of the Verilog macros to pass in.
- The directories containing Verilog "include" files. These are files included in a Verilog HDL file using the `include construct.
- Simulator-specific optimization switches.