Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 10/05/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.3. Supported Hardware Description Languages

The Intel® Quartus® Prime software provides the following hardware description language (HDL) support for EDA simulators.
Table 3.  HDL Support
Language Description

VHDL

  • For VHDL RTL simulation, compile design files and testbench directly in your simulator. For all supported simulators other than Questa*-Intel® FPGA Edition, you must also compile simulation models from the Intel FPGA simulation libraries and simulation models for the IP cores in your design. Use the Simulation Library Compiler to compile simulation models.
  • For gate-level simulation, the EDA Netlist Writer generates a synthesized design netlist VHDL Output File (.vho). Compile the .vho in your simulator. You may also need to compile models from the Intel FPGA simulation libraries.
  • To simulate the model in a VHDL design, you may require a simulator that is capable of VHDL/Verilog HDL co-simulation.

Verilog /SystemVerilog

  • For RTL simulation in Verilog HDL or SystemVerilog, compile your design files in your simulator. For all supported simulators other than Questa*-Intel® FPGA Edition, you must also compile simulation models from the Intel FPGA simulation libraries and simulation models for the IP cores in your design. Use the Simulation Library Compiler to compile simulation models.
  • For gate-level simulation, the EDA Netlist Writer generates a synthesized design netlist Verilog Output File (.vo). Compile the .vo in your simulator.

Mixed HDL

  • If your design is a mix of VHDL, Verilog HDL, and SystemVerilog files, you must use a mixed language simulator. Choose the most convenient supported language for generation of Intel FPGA IP cores in your design.
  • Intel FPGA provides the entry-level Questa*-Intel® FPGA Edition simulator, along with precompiled Intel FPGA simulation libraries, to simplify simulation of Intel FPGA designs. The Questa*-Intel® FPGA Edition software supports native, mixed-language (VHDL/Verilog HDL/SystemVerilog) co-simulation of plain text HDL.

    If you have a VHDL-only simulator and need to simulate Verilog HDL modules and IP cores, you can either acquire a mixed-language simulator license from the simulator vendor, or use the Questa*-Intel® FPGA Edition simulator.

Schematic

  • To perform RTL simulation of the schematic, you must convert the schematic to HDL format and run RTL simulation on the HDL. The Intel® Quartus® Prime Pro Edition software cannot perform schematic conversion. The Intel® Quartus® Prime Standard Edition software can perform schematic conversion.
  • To perform post-synthesis or post-fit simulation, you must first compile the schematic in the Intel® Quartus® Prime software, generate a gate-level simulation netlist, and perform simulation on the netlist.