Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 10/05/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3. Questa*-Intel® FPGA Edition, ModelSim* , and Questa* Simulator Support

You can include your supported EDA simulator in the Intel® Quartus® Prime design flow. This document provides guidelines for simulation of designs with supported Intel and Siemens EDA simulators. The entry-level Questa*-Intel® FPGA Edition includes precompiled simulation libraries.
Note:

The Questa*-Intel® FPGA Edition simulator supports native, mixed-language (VHDL/Verilog HDL/SystemVerilog) co-simulation of plain text HDL. If you have a VHDL-only simulator, you can use the Questa*-Intel® FPGA Edition software to simulate Verilog HDL modules and IP cores. Alternatively, you can purchase separate co-simulation licenses.