Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation
ID
683870
Date
10/05/2021
Public
A newer version of this document is available. Customers should click here to go to the newest version.
1. Answers to Top FAQs
2. Intel FPGA Simulation Basics
3. Questa*-Intel® FPGA Edition, ModelSim* , and Questa* Simulator Support
4. Synopsys VCS* and VCS MX Support
5. Aldec Active-HDL and Riviera-PRO Support
6. Cadence Xcelium* Parallel Simulator Support
7. Intel® Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Intel® Quartus® Prime Pro Edition User Guides
3.1. Quick Start Example (ModelSim with Verilog)
3.2. Questa*-Intel® FPGA Edition, ModelSim, and Questa Simulator Guidelines
3.3. ModelSim Simulation Setup Script Example
3.4. Sourcing ModelSim* Simulator Setup Scripts
3.5. Unsupported Features
3.6. Questa*-Intel® FPGA Edition, ModelSim* , and Questa* Simulator Support Revision History
3.2.1. Using Questa*-Intel® FPGA Edition Precompiled Libraries
3.2.2. Passing Parameter Information from Verilog HDL to VHDL
3.2.3. Viewing Simulation Messages
3.2.4. Generating Signal Activity Data for Power Analysis
3.2.5. Viewing Simulation Waveforms
3.2.6. Simulating with Questa*-Intel® FPGA Edition Waveform Editor
2.2. Supported Simulation Levels
The Intel® Quartus® Prime software provides the following support for RTL and gate-level simulation:
Simulation Level | Description | Simulator Inputs |
---|---|---|
RTL | Cycle-accurate simulation using your Verilog HDL, SystemVerilog, and VHDL design source code with simulation models provided by Intel FPGA and other IP providers. |
|
Gate-level functional | Simulation using a post-synthesis or post-fit functional netlist testing the post-synthesis functional netlist, or post-fit functional netlist. |
|