Intel® Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 10/05/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

6.1. Using the Command-Line Interface

Intel FPGA provides command-line support for the Xcelium* Parallel Simulator.

The following Xcelium* simulation executables are available:

Table 6.   Xcelium* Simulation Executables
Program Function
xmvlog

xmvlog compiles your Verilog HDL code and performs syntax and static semantics checks.

xmvhdl

xmvhdl compiles your VHDL code and performs syntax and static semantics checks.

xmelab Elaborates the design hierarchy and determines signal connectivity.
xmsim Runs mixed-language simulation. This program is the simulation kernel that performs event scheduling and executes the simulation code.