AN 953: Partially Reconfiguring a Design: on an Intel® Agilex® F-Series FPGA Development Board

ID 683849
Date 7/23/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Adding a New Persona to the Design

After fully compiling your base revisions, you can still add new personas and individually compile these personas.

For example, to define a new persona that keeps one LED on and the other LED off:

  1. Copy blinking_led_empty.sv to blinking_led_wink.sv.
  2. In the blinking_led_wink.sv file, modify the assignment, assign led_three_on = 1'b0; to assign led_three_on = 1'b1;.
  3. Create a new implementation revision, blinking_led_wink, by following the steps in Creating Implementation Revisions.
    Note: The blinking_led_wink revision must use the blinking_led_wink.sv file, and use the blinking_led_wink entity name in the entity rebinding assignment.
  4. Compile the revision by clicking Processing > Start Compilation.