AN-811: Using the Avery BFM for PCI Express Gen3x16 Simulation on Intel® Stratix® 10 Devices

ID 683477
Date 1/23/2018
Public

ModelSim

  1. In a terminal with Intel® Quartus® Prime, ModelSim SE, and Avery resources, navigate to <Example_Design_Directory>/pcie_example_design_tb/pcie_example_design_tb/Avery_sim_script/modelsim.
  2. Execute the command, vsim -c -do mentor.do.
    Note: Omitting the -c option opens the ModelSim GUI.