Intel® Quartus® Prime Pro Edition User Guide: Getting Started

ID 683463
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

6.4.6. Remove Non-Standard Pragmas

Intel® Quartus® Prime Pro Edition synthesis does not support the vhdl(verilog)_input_version pragma or the library pragma. Synthesis in other Quartus software products supports these pragmas. Remove any use of the pragmas from RTL for Intel® Quartus® Prime Pro Edition migration. Use the following guidelines to implement the pragma functionality in Intel® Quartus® Prime Pro Edition:
  • vhdl(verilog)_input_version Pragma—allows change to the input version in the middle of an input file. For example, to change VHDL 1993 to VHDL 2008. For Intel® Quartus® Prime Pro Edition migration, specify the input version for each file in the .qsf.
  • library Pragma—allows changes to the VHDL library into which files compile. For Intel® Quartus® Prime Pro Edition migration, specify the compilation library in the .qsf.