Intel® Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 12/13/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

SYNCHRONIZER_IDENTIFICATION

Specifies how the Timing Analyzer identifies registers as being part of a synchronization register chain for metastability analysis. A synchronization register chain is a sequence of registers with the same clock with no fan-out in between, which is driven by a pin or logic from another clock domain. When this option is set to 'Off', the Timing Analyzer does not identify the specified registers, or the registers within the specified entity, as synchronization registers. When the option is set to 'Auto', the Timing Analyzer identifies valid synchronization registers that are part of a chain with more than one register that contains no combinational logic. When this option is set to 'Forced if Asynchronous', the Timing Analyzer identifies synchronization register chains if the software detects an asynchronous signal transfer, even if there is combinational logic or only one register in the chain. When this option is set to 'Forced', then the specified register, or all registers within the specified entity, are always identified as synchronizers, even if the software does not detect an asynchronous signal transfer. Note that the 'Forced' option should not be applied to the entire design (and cannot be applied from the Quartus GUI's Settings dialog), because doing so identifies all registers in the design as synchronizers. Also, it is not possible to set the global detection setting to 'Off'; attempting to do so will have it changed to 'Auto'. If a synchronization register chain is identified with the 'Forced' or 'Forced if Asynchronous' option, then its registers are optimized for improved Mean Time Between Failure (MTBF) as long as the Optimize Design for Metastability option is turned on, and the Timing Analyzer reports the metastability MTBF for the chain if it meets the design timing requirements. However, synchronization register chains identified with the 'Auto' option instead of the 'Forced' or 'Forced if Asynchronous' options will be excluded from metastability optimization and reporting unless the 'Analyze Auto-Detected Synchronizers for Metastability' setting is enabled. Otherwise, you can use the 'Auto' setting to generate a report of possible synchronization chains in your design.

Old Name

ANALYZE_METASTABILITY

Type

Enumeration

Values

  • Auto
  • Forced
  • Forced If Asynchronous
  • Off

Device Support

  • Agilex
  • Intel® Arria® 10
  • Intel® Cyclone® 10 GX
  • Intel® Stratix® 10

Notes

This assignment supports wildcards.

This assignment supports Fitter wildcards.

This assignment is included in the Fitter report.

Syntax


set_global_assignment -name SYNCHRONIZER_IDENTIFICATION <value>
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION -entity <entity name> <value>
set_instance_assignment -name SYNCHRONIZER_IDENTIFICATION -to <to> -entity <entity name> <value>

Default Value

Auto