Intel® Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 12/13/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

EDA_EXTRA_ELAB_OPTION

Additional custom simulation elaboration options for one or more simulators.

Type

String

Device Support

  • This setting can be used in projects targeting any Intel FPGA device family.

Notes

The value of this assignment is case sensitive.

This assignment is included in the Fitter report.

Syntax


set_global_assignment -name EDA_EXTRA_ELAB_OPTION -section_id <section identifier> <value>
set_global_assignment -name EDA_EXTRA_ELAB_OPTION -entity <entity name> -section_id <section identifier> <value>

Default Value

"", requires section identifier