Intel® Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 12/13/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

EDA_TEST_BENCH_FILE_NAME

Specifies the test bench file name for Test Bench Mode. File type can be a VHDL Test Bench File (.vht), Verilog HDL Test Bench File (.vt), or another design file type.

Type

File name

Device Support

  • This setting can be used in projects targeting any Intel FPGA device family.

Notes

The value of this assignment is case sensitive.

Syntax


set_global_assignment -name EDA_TEST_BENCH_FILE_NAME -section_id <section identifier> <value>
set_global_assignment -name EDA_TEST_BENCH_FILE_NAME -entity <entity name> -section_id <section identifier> <value>