Intel® Quartus® Prime Pro Edition Settings File Reference Manual

ID 683296
Date 12/13/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

ALLOW_REGISTER_RETIMING

Controls whether the Compiler is allowed to retime registers to improve design performance. When register retiming is allowed, the Compiler may perform optimizations that move combinational logic across register boundaries, maintaining the overall logic of the design component but also balancing the data path delays between each register.\r\n\r\nThis setting affects the Fitter.

Type

Boolean

Device Support

  • This setting can be used in projects targeting any Intel FPGA device family.

Notes

This assignment supports wildcards.

This assignment is included in the Fitter report.

Syntax


set_global_assignment -name ALLOW_REGISTER_RETIMING <value>
set_instance_assignment -name ALLOW_REGISTER_RETIMING -to <to> -entity <entity name> <value>

Default Value

On

Example


set_global_assignment -name allow_register_retiming on